blob: 25e382198cb9e7a5152ef469d25b24ac9b3b284c [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|* Subtarget Enumeration Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
#ifdef GET_SUBTARGETINFO_ENUM
#undef GET_SUBTARGETINFO_ENUM
namespace llvm {
namespace ARM {
enum {
ARMv2 = 0,
ARMv2a = 1,
ARMv3 = 2,
ARMv3m = 3,
ARMv4 = 4,
ARMv4t = 5,
ARMv5t = 6,
ARMv5te = 7,
ARMv5tej = 8,
ARMv6 = 9,
ARMv6j = 10,
ARMv6k = 11,
ARMv6kz = 12,
ARMv6m = 13,
ARMv6sm = 14,
ARMv6t2 = 15,
ARMv7a = 16,
ARMv7em = 17,
ARMv7k = 18,
ARMv7m = 19,
ARMv7r = 20,
ARMv7s = 21,
ARMv7ve = 22,
ARMv8a = 23,
ARMv8mBaseline = 24,
ARMv8mMainline = 25,
ARMv8r = 26,
ARMv81a = 27,
ARMv81mMainline = 28,
ARMv82a = 29,
ARMv83a = 30,
ARMv84a = 31,
ARMv85a = 32,
Feature8MSecExt = 33,
FeatureAClass = 34,
FeatureAES = 35,
FeatureAcquireRelease = 36,
FeatureAvoidMOVsShOp = 37,
FeatureAvoidPartialCPSR = 38,
FeatureCRC = 39,
FeatureCheapPredicableCPSR = 40,
FeatureCheckVLDnAlign = 41,
FeatureCrypto = 42,
FeatureD32 = 43,
FeatureDB = 44,
FeatureDFB = 45,
FeatureDSP = 46,
FeatureDontWidenVMOVS = 47,
FeatureDotProd = 48,
FeatureExecuteOnly = 49,
FeatureExpandMLx = 50,
FeatureFP16 = 51,
FeatureFP16FML = 52,
FeatureFP64 = 53,
FeatureFPAO = 54,
FeatureFPARMv8 = 55,
FeatureFPARMv8_D16 = 56,
FeatureFPARMv8_D16_SP = 57,
FeatureFPARMv8_SP = 58,
FeatureFPRegs = 59,
FeatureFPRegs16 = 60,
FeatureFPRegs64 = 61,
FeatureFullFP16 = 62,
FeatureFuseAES = 63,
FeatureFuseLiterals = 64,
FeatureHWDivARM = 65,
FeatureHWDivThumb = 66,
FeatureHasNoBranchPredictor = 67,
FeatureHasRetAddrStack = 68,
FeatureHasSlowFPVFMx = 69,
FeatureHasSlowFPVMLx = 70,
FeatureHasVMLxHazards = 71,
FeatureLOB = 72,
FeatureLongCalls = 73,
FeatureMClass = 74,
FeatureMP = 75,
FeatureMVEVectorCostFactor1 = 76,
FeatureMVEVectorCostFactor2 = 77,
FeatureMVEVectorCostFactor4 = 78,
FeatureMuxedUnits = 79,
FeatureNEON = 80,
FeatureNEONForFP = 81,
FeatureNEONForFPMovs = 82,
FeatureNaClTrap = 83,
FeatureNoARM = 84,
FeatureNoMovt = 85,
FeatureNoNegativeImmediates = 86,
FeatureNoPostRASched = 87,
FeatureNonpipelinedVFP = 88,
FeaturePerfMon = 89,
FeaturePref32BitThumb = 90,
FeaturePrefISHSTBarrier = 91,
FeaturePrefLoopAlign32 = 92,
FeaturePreferVMOVSR = 93,
FeatureProfUnpredicate = 94,
FeatureRAS = 95,
FeatureRClass = 96,
FeatureReadTp = 97,
FeatureReserveR9 = 98,
FeatureSB = 99,
FeatureSHA2 = 100,
FeatureSlowFPBrcc = 101,
FeatureSlowLoadDSubreg = 102,
FeatureSlowOddRegister = 103,
FeatureSlowVDUP32 = 104,
FeatureSlowVGETLNi32 = 105,
FeatureSplatVFPToNeon = 106,
FeatureStrictAlign = 107,
FeatureThumb2 = 108,
FeatureTrustZone = 109,
FeatureUseMISched = 110,
FeatureUseWideStrideVFP = 111,
FeatureV7Clrex = 112,
FeatureVFP2 = 113,
FeatureVFP2_SP = 114,
FeatureVFP3 = 115,
FeatureVFP3_D16 = 116,
FeatureVFP3_D16_SP = 117,
FeatureVFP3_SP = 118,
FeatureVFP4 = 119,
FeatureVFP4_D16 = 120,
FeatureVFP4_D16_SP = 121,
FeatureVFP4_SP = 122,
FeatureVMLxForwarding = 123,
FeatureVirtualization = 124,
FeatureZCZeroing = 125,
HasMVEFloatOps = 126,
HasMVEIntegerOps = 127,
HasV4TOps = 128,
HasV5TEOps = 129,
HasV5TOps = 130,
HasV6KOps = 131,
HasV6MOps = 132,
HasV6Ops = 133,
HasV6T2Ops = 134,
HasV7Ops = 135,
HasV8MBaselineOps = 136,
HasV8MMainlineOps = 137,
HasV8Ops = 138,
HasV8_1MMainlineOps = 139,
HasV8_1aOps = 140,
HasV8_2aOps = 141,
HasV8_3aOps = 142,
HasV8_4aOps = 143,
HasV8_5aOps = 144,
IWMMXT = 145,
IWMMXT2 = 146,
ModeSoftFloat = 147,
ModeThumb = 148,
ProcA5 = 149,
ProcA7 = 150,
ProcA8 = 151,
ProcA9 = 152,
ProcA12 = 153,
ProcA15 = 154,
ProcA17 = 155,
ProcA32 = 156,
ProcA35 = 157,
ProcA53 = 158,
ProcA55 = 159,
ProcA57 = 160,
ProcA72 = 161,
ProcA73 = 162,
ProcA75 = 163,
ProcA76 = 164,
ProcExynos = 165,
ProcKrait = 166,
ProcKryo = 167,
ProcM3 = 168,
ProcR4 = 169,
ProcR5 = 170,
ProcR7 = 171,
ProcR52 = 172,
ProcSwift = 173,
XScale = 174,
NumSubtargetFeatures = 175
};
} // end namespace ARM
} // end namespace llvm
#endif // GET_SUBTARGETINFO_ENUM
#ifdef GET_SUBTARGETINFO_MC_DESC
#undef GET_SUBTARGETINFO_MC_DESC
namespace llvm {
// Sorted (by key) array of values for CPU features.
extern const llvm::SubtargetFeatureKV ARMFeatureKV[] = {
{ "32bit", "Prefer 32-bit Thumb instrs", ARM::FeaturePref32BitThumb, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "8msecext", "Enable support for ARMv8-M Security Extensions", ARM::Feature8MSecExt, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a12", "Cortex-A12 ARM processors", ARM::ProcA12, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a15", "Cortex-A15 ARM processors", ARM::ProcA15, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a17", "Cortex-A17 ARM processors", ARM::ProcA17, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a32", "Cortex-A32 ARM processors", ARM::ProcA32, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a35", "Cortex-A35 ARM processors", ARM::ProcA35, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a5", "Cortex-A5 ARM processors", ARM::ProcA5, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a53", "Cortex-A53 ARM processors", ARM::ProcA53, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a55", "Cortex-A55 ARM processors", ARM::ProcA55, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a57", "Cortex-A57 ARM processors", ARM::ProcA57, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a7", "Cortex-A7 ARM processors", ARM::ProcA7, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a72", "Cortex-A72 ARM processors", ARM::ProcA72, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a73", "Cortex-A73 ARM processors", ARM::ProcA73, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a75", "Cortex-A75 ARM processors", ARM::ProcA75, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a76", "Cortex-A76 ARM processors", ARM::ProcA76, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a8", "Cortex-A8 ARM processors", ARM::ProcA8, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "a9", "Cortex-A9 ARM processors", ARM::ProcA9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "aclass", "Is application profile ('A' series)", ARM::FeatureAClass, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "acquire-release", "Has v8 acquire/release (lda/ldaex etc) instructions", ARM::FeatureAcquireRelease, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "aes", "Enable AES support", ARM::FeatureAES, { { { 0x0ULL, 0x10000ULL, 0x0ULL, } } } },
{ "armv2", "ARMv2 architecture", ARM::ARMv2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv2a", "ARMv2a architecture", ARM::ARMv2a, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv3", "ARMv3 architecture", ARM::ARMv3, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv3m", "ARMv3m architecture", ARM::ARMv3m, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv4", "ARMv4 architecture", ARM::ARMv4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv4t", "ARMv4t architecture", ARM::ARMv4t, { { { 0x0ULL, 0x0ULL, 0x1ULL, } } } },
{ "armv5t", "ARMv5t architecture", ARM::ARMv5t, { { { 0x0ULL, 0x0ULL, 0x4ULL, } } } },
{ "armv5te", "ARMv5te architecture", ARM::ARMv5te, { { { 0x0ULL, 0x0ULL, 0x2ULL, } } } },
{ "armv5tej", "ARMv5tej architecture", ARM::ARMv5tej, { { { 0x0ULL, 0x0ULL, 0x2ULL, } } } },
{ "armv6", "ARMv6 architecture", ARM::ARMv6, { { { 0x400000000000ULL, 0x0ULL, 0x20ULL, } } } },
{ "armv6-m", "ARMv6m architecture", ARM::ARMv6m, { { { 0x100000000000ULL, 0x80000100400ULL, 0x100010ULL, } } } },
{ "armv6j", "ARMv7a architecture", ARM::ARMv6j, { { { 0x200ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv6k", "ARMv6k architecture", ARM::ARMv6k, { { { 0x0ULL, 0x0ULL, 0x8ULL, } } } },
{ "armv6kz", "ARMv6kz architecture", ARM::ARMv6kz, { { { 0x0ULL, 0x200000000000ULL, 0x8ULL, } } } },
{ "armv6s-m", "ARMv6sm architecture", ARM::ARMv6sm, { { { 0x100000000000ULL, 0x80000100400ULL, 0x100010ULL, } } } },
{ "armv6t2", "ARMv6t2 architecture", ARM::ARMv6t2, { { { 0x400000000000ULL, 0x0ULL, 0x40ULL, } } } },
{ "armv7-a", "ARMv7a architecture", ARM::ARMv7a, { { { 0x500400000000ULL, 0x10000ULL, 0x80ULL, } } } },
{ "armv7-m", "ARMv7m architecture", ARM::ARMv7m, { { { 0x100000000000ULL, 0x100000100404ULL, 0x100080ULL, } } } },
{ "armv7-r", "ARMv7r architecture", ARM::ARMv7r, { { { 0x500000000000ULL, 0x100000004ULL, 0x80ULL, } } } },
{ "armv7e-m", "ARMv7em architecture", ARM::ARMv7em, { { { 0x500000000000ULL, 0x100000100404ULL, 0x100080ULL, } } } },
{ "armv7k", "ARMv7a architecture", ARM::ARMv7k, { { { 0x10000ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv7s", "ARMv7a architecture", ARM::ARMv7s, { { { 0x10000ULL, 0x0ULL, 0x0ULL, } } } },
{ "armv7ve", "ARMv7ve architecture", ARM::ARMv7ve, { { { 0x500400000000ULL, 0x1000200000010800ULL, 0x80ULL, } } } },
{ "armv8-a", "ARMv8a architecture", ARM::ARMv8a, { { { 0x80548400000000ULL, 0x1000200000010800ULL, 0x400ULL, } } } },
{ "armv8-m.base", "ARMv8mBaseline architecture", ARM::ARMv8mBaseline, { { { 0x101200000000ULL, 0x1080000100404ULL, 0x100100ULL, } } } },
{ "armv8-m.main", "ARMv8mMainline architecture", ARM::ARMv8mMainline, { { { 0x101200000000ULL, 0x100404ULL, 0x100200ULL, } } } },
{ "armv8-r", "ARMv8r architecture", ARM::ARMv8r, { { { 0x80708000000000ULL, 0x1000000100010800ULL, 0x400ULL, } } } },
{ "armv8.1-a", "ARMv81a architecture", ARM::ARMv81a, { { { 0x80548400000000ULL, 0x1000200000010800ULL, 0x1000ULL, } } } },
{ "armv8.1-m.main", "ARMv81mMainline architecture", ARM::ARMv81mMainline, { { { 0x101200000000ULL, 0x80100504ULL, 0x100800ULL, } } } },
{ "armv8.2-a", "ARMv82a architecture", ARM::ARMv82a, { { { 0x80548400000000ULL, 0x1000200080010800ULL, 0x2000ULL, } } } },
{ "armv8.3-a", "ARMv83a architecture", ARM::ARMv83a, { { { 0x80548400000000ULL, 0x1000200080010800ULL, 0x4000ULL, } } } },
{ "armv8.4-a", "ARMv84a architecture", ARM::ARMv84a, { { { 0x81548400000000ULL, 0x1000200080010800ULL, 0x8000ULL, } } } },
{ "armv8.5-a", "ARMv85a architecture", ARM::ARMv85a, { { { 0x81548400000000ULL, 0x1000200080010800ULL, 0x10000ULL, } } } },
{ "avoid-movs-shop", "Avoid movs instructions with shifter operand", ARM::FeatureAvoidMOVsShOp, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "avoid-partial-cpsr", "Avoid CPSR partial update for OOO execution", ARM::FeatureAvoidPartialCPSR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "cheap-predicable-cpsr", "Disable +1 predication cost for instructions updating CPSR", ARM::FeatureCheapPredicableCPSR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "crc", "Enable support for CRC instructions", ARM::FeatureCRC, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "crypto", "Enable support for Cryptography extensions", ARM::FeatureCrypto, { { { 0x800000000ULL, 0x1000010000ULL, 0x0ULL, } } } },
{ "d32", "Extend FP to 32 double registers", ARM::FeatureD32, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "db", "Has data barrier (dmb/dsb) instructions", ARM::FeatureDB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "dfb", "Has full data barrier (dfb) instruction", ARM::FeatureDFB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "disable-postra-scheduler", "Don't schedule again after register allocation", ARM::FeatureNoPostRASched, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "dont-widen-vmovs", "Don't widen VMOVS to VMOVD", ARM::FeatureDontWidenVMOVS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "dotprod", "Enable support for dot product instructions", ARM::FeatureDotProd, { { { 0x0ULL, 0x10000ULL, 0x0ULL, } } } },
{ "dsp", "Supports DSP instructions in ARM and/or Thumb2", ARM::FeatureDSP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "execute-only", "Enable the generation of execute only code.", ARM::FeatureExecuteOnly, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "expand-fp-mlx", "Expand VFP/NEON MLA/MLS instructions", ARM::FeatureExpandMLx, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "exynos", "Samsung Exynos processors", ARM::ProcExynos, { { { 0x8004048000000000ULL, 0x2000872040000077ULL, 0x0ULL, } } } },
{ "fp-armv8", "Enable ARMv8 FP", ARM::FeatureFPARMv8, { { { 0x500000000000000ULL, 0x80000000000000ULL, 0x0ULL, } } } },
{ "fp-armv8d16", "Enable ARMv8 FP with only 16 d-registers", ARM::FeatureFPARMv8_D16, { { { 0x220000000000000ULL, 0x100000000000000ULL, 0x0ULL, } } } },
{ "fp-armv8d16sp", "Enable ARMv8 FP with only 16 d-registers and no double precision", ARM::FeatureFPARMv8_D16_SP, { { { 0x0ULL, 0x200000000000000ULL, 0x0ULL, } } } },
{ "fp-armv8sp", "Enable ARMv8 FP with no double precision", ARM::FeatureFPARMv8_SP, { { { 0x200080000000000ULL, 0x400000000000000ULL, 0x0ULL, } } } },
{ "fp16", "Enable half-precision floating point", ARM::FeatureFP16, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fp16fml", "Enable full half-precision floating point fml instructions", ARM::FeatureFP16FML, { { { 0x4000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fp64", "Floating point unit supports double precision", ARM::FeatureFP64, { { { 0x2000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fpao", "Enable fast computation of positive address offsets", ARM::FeatureFPAO, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fpregs", "Enable FP registers", ARM::FeatureFPRegs, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fpregs16", "Enable 16-bit FP registers", ARM::FeatureFPRegs16, { { { 0x800000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fpregs64", "Enable 64-bit FP registers", ARM::FeatureFPRegs64, { { { 0x800000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fullfp16", "Enable full half-precision floating point", ARM::FeatureFullFP16, { { { 0x1200000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-aes", "CPU fuses AES crypto operations", ARM::FeatureFuseAES, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-literals", "CPU fuses literal generation operations", ARM::FeatureFuseLiterals, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "hwdiv", "Enable divide instructions in Thumb", ARM::FeatureHWDivThumb, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "hwdiv-arm", "Enable divide instructions in ARM mode", ARM::FeatureHWDivARM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "iwmmxt", "ARMv5te architecture", ARM::IWMMXT, { { { 0x80ULL, 0x0ULL, 0x0ULL, } } } },
{ "iwmmxt2", "ARMv5te architecture", ARM::IWMMXT2, { { { 0x80ULL, 0x0ULL, 0x0ULL, } } } },
{ "krait", "Qualcomm Krait processors", ARM::ProcKrait, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "kryo", "Qualcomm Kryo processors", ARM::ProcKryo, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "lob", "Enable Low Overhead Branch extensions", ARM::FeatureLOB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "long-calls", "Generate calls via indirect call instructions", ARM::FeatureLongCalls, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "loop-align", "Prefer 32-bit alignment for loops", ARM::FeaturePrefLoopAlign32, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "m3", "Cortex-M3 ARM processors", ARM::ProcM3, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mclass", "Is microcontroller profile ('M' series)", ARM::FeatureMClass, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mp", "Supports Multiprocessing extension", ARM::FeatureMP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "muxed-units", "Has muxed AGU and NEON/FPU", ARM::FeatureMuxedUnits, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mve", "Support M-Class Vector Extension with integer ops", ARM::HasMVEIntegerOps, { { { 0x3000400000000000ULL, 0x0ULL, 0x800ULL, } } } },
{ "mve.fp", "Support M-Class Vector Extension with integer and floating ops", ARM::HasMVEFloatOps, { { { 0x4200000000000000ULL, 0x8000000000000000ULL, 0x0ULL, } } } },
{ "mve1beat", "Model MVE instructions as a 1 beat per tick architecture", ARM::FeatureMVEVectorCostFactor1, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mve2beat", "Model MVE instructions as a 2 beats per tick architecture", ARM::FeatureMVEVectorCostFactor2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mve4beat", "Model MVE instructions as a 4 beats per tick architecture", ARM::FeatureMVEVectorCostFactor4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "nacl-trap", "NaCl trap", ARM::FeatureNaClTrap, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "neon", "Enable NEON instructions", ARM::FeatureNEON, { { { 0x0ULL, 0x8000000000000ULL, 0x0ULL, } } } },
{ "neon-fpmovs", "Convert VMOVSR, VMOVRS, VMOVS to NEON", ARM::FeatureNEONForFPMovs, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "neonfp", "Use NEON for single precision FP", ARM::FeatureNEONForFP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "no-branch-predictor", "Has no branch predictor", ARM::FeatureHasNoBranchPredictor, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "no-movt", "Don't use movt/movw pairs for 32-bit imms", ARM::FeatureNoMovt, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "no-neg-immediates", "Convert immediates and instructions to their negated or complemented equivalent when the immediate does not fit in the encoding.", ARM::FeatureNoNegativeImmediates, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "noarm", "Does not support ARM mode execution", ARM::FeatureNoARM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "nonpipelined-vfp", "VFP instructions are not pipelined", ARM::FeatureNonpipelinedVFP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "perfmon", "Enable support for Performance Monitor extensions", ARM::FeaturePerfMon, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "prefer-ishst", "Prefer ISHST barriers", ARM::FeaturePrefISHSTBarrier, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "prefer-vmovsr", "Prefer VMOVSR", ARM::FeaturePreferVMOVSR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "prof-unpr", "Is profitable to unpredicate", ARM::FeatureProfUnpredicate, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "r4", "Cortex-R4 ARM processors", ARM::ProcR4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "r5", "Cortex-R5 ARM processors", ARM::ProcR5, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "r52", "Cortex-R52 ARM processors", ARM::ProcR52, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "r7", "Cortex-R7 ARM processors", ARM::ProcR7, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ras", "Enable Reliability, Availability and Serviceability extensions", ARM::FeatureRAS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "rclass", "Is realtime profile ('R' series)", ARM::FeatureRClass, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "read-tp-hard", "Reading thread pointer from register", ARM::FeatureReadTp, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-r9", "Reserve R9, making it unavailable as GPR", ARM::FeatureReserveR9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ret-addr-stack", "Has return address stack", ARM::FeatureHasRetAddrStack, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sb", "Enable v8.5a Speculation Barrier", ARM::FeatureSB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sha2", "Enable SHA1 and SHA256 support", ARM::FeatureSHA2, { { { 0x0ULL, 0x10000ULL, 0x0ULL, } } } },
{ "slow-fp-brcc", "FP compare + branch is slow", ARM::FeatureSlowFPBrcc, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-load-D-subreg", "Loading into D subregs is slow", ARM::FeatureSlowLoadDSubreg, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-odd-reg", "VLDM/VSTM starting with an odd register is slow", ARM::FeatureSlowOddRegister, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-vdup32", "Has slow VDUP32 - prefer VMOV", ARM::FeatureSlowVDUP32, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-vgetlni32", "Has slow VGETLNi32 - prefer VMOV", ARM::FeatureSlowVGETLNi32, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slowfpvfmx", "Disable VFP / NEON FMA instructions", ARM::FeatureHasSlowFPVFMx, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slowfpvmlx", "Disable VFP / NEON MAC instructions", ARM::FeatureHasSlowFPVMLx, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "soft-float", "Use software floating point features.", ARM::ModeSoftFloat, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "splat-vfp-neon", "Splat register from VFP to NEON", ARM::FeatureSplatVFPToNeon, { { { 0x800000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "strict-align", "Disallow all unaligned memory access", ARM::FeatureStrictAlign, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "swift", "Swift ARM processors", ARM::ProcSwift, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "thumb-mode", "Thumb mode", ARM::ModeThumb, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "thumb2", "Enable Thumb2 instructions", ARM::FeatureThumb2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "trustzone", "Enable support for TrustZone security extensions", ARM::FeatureTrustZone, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "use-misched", "Use the MachineScheduler", ARM::FeatureUseMISched, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "v4t", "Support ARM v4T instructions", ARM::HasV4TOps, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "v5t", "Support ARM v5T instructions", ARM::HasV5TOps, { { { 0x0ULL, 0x0ULL, 0x1ULL, } } } },
{ "v5te", "Support ARM v5TE, v5TEj, and v5TExp instructions", ARM::HasV5TEOps, { { { 0x0ULL, 0x0ULL, 0x4ULL, } } } },
{ "v6", "Support ARM v6 instructions", ARM::HasV6Ops, { { { 0x0ULL, 0x0ULL, 0x2ULL, } } } },
{ "v6k", "Support ARM v6k instructions", ARM::HasV6KOps, { { { 0x0ULL, 0x0ULL, 0x20ULL, } } } },
{ "v6m", "Support ARM v6M instructions", ARM::HasV6MOps, { { { 0x0ULL, 0x0ULL, 0x20ULL, } } } },
{ "v6t2", "Support ARM v6t2 instructions", ARM::HasV6T2Ops, { { { 0x0ULL, 0x100000000000ULL, 0x108ULL, } } } },
{ "v7", "Support ARM v7 instructions", ARM::HasV7Ops, { { { 0x0ULL, 0x1000002000000ULL, 0x40ULL, } } } },
{ "v7clrex", "Has v7 clrex instruction", ARM::FeatureV7Clrex, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "v8", "Support ARM v8 instructions", ARM::HasV8Ops, { { { 0x1000000000ULL, 0x0ULL, 0x80ULL, } } } },
{ "v8.1a", "Support ARM v8.1a instructions", ARM::HasV8_1aOps, { { { 0x0ULL, 0x0ULL, 0x400ULL, } } } },
{ "v8.1m.main", "Support ARM v8-1M Mainline instructions", ARM::HasV8_1MMainlineOps, { { { 0x0ULL, 0x0ULL, 0x200ULL, } } } },
{ "v8.2a", "Support ARM v8.2a instructions", ARM::HasV8_2aOps, { { { 0x0ULL, 0x0ULL, 0x1000ULL, } } } },
{ "v8.3a", "Support ARM v8.3a instructions", ARM::HasV8_3aOps, { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } } },
{ "v8.4a", "Support ARM v8.4a instructions", ARM::HasV8_4aOps, { { { 0x1000000000000ULL, 0x0ULL, 0x4000ULL, } } } },
{ "v8.5a", "Support ARM v8.5a instructions", ARM::HasV8_5aOps, { { { 0x0ULL, 0x800000000ULL, 0x8000ULL, } } } },
{ "v8m", "Support ARM v8M Baseline instructions", ARM::HasV8MBaselineOps, { { { 0x0ULL, 0x0ULL, 0x10ULL, } } } },
{ "v8m.main", "Support ARM v8M Mainline instructions", ARM::HasV8MMainlineOps, { { { 0x0ULL, 0x0ULL, 0x80ULL, } } } },
{ "vfp2", "Enable VFP2 instructions", ARM::FeatureVFP2, { { { 0x20000000000000ULL, 0x4000000000000ULL, 0x0ULL, } } } },
{ "vfp2sp", "Enable VFP2 instructions with no double precision", ARM::FeatureVFP2_SP, { { { 0x800000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "vfp3", "Enable VFP3 instructions", ARM::FeatureVFP3, { { { 0x0ULL, 0x50000000000000ULL, 0x0ULL, } } } },
{ "vfp3d16", "Enable VFP3 instructions with only 16 d-registers", ARM::FeatureVFP3_D16, { { { 0x20000000000000ULL, 0x22000000000000ULL, 0x0ULL, } } } },
{ "vfp3d16sp", "Enable VFP3 instructions with only 16 d-registers and no double precision", ARM::FeatureVFP3_D16_SP, { { { 0x0ULL, 0x4000000000000ULL, 0x0ULL, } } } },
{ "vfp3sp", "Enable VFP3 instructions with no double precision", ARM::FeatureVFP3_SP, { { { 0x80000000000ULL, 0x20000000000000ULL, 0x0ULL, } } } },
{ "vfp4", "Enable VFP4 instructions", ARM::FeatureVFP4, { { { 0x8000000000000ULL, 0x508000000000000ULL, 0x0ULL, } } } },
{ "vfp4d16", "Enable VFP4 instructions with only 16 d-registers", ARM::FeatureVFP4_D16, { { { 0x28000000000000ULL, 0x210000000000000ULL, 0x0ULL, } } } },
{ "vfp4d16sp", "Enable VFP4 instructions with only 16 d-registers and no double precision", ARM::FeatureVFP4_D16_SP, { { { 0x8000000000000ULL, 0x20000000000000ULL, 0x0ULL, } } } },
{ "vfp4sp", "Enable VFP4 instructions with no double precision", ARM::FeatureVFP4_SP, { { { 0x8080000000000ULL, 0x240000000000000ULL, 0x0ULL, } } } },
{ "virtualization", "Supports Virtualization extension", ARM::FeatureVirtualization, { { { 0x0ULL, 0x6ULL, 0x0ULL, } } } },
{ "vldn-align", "Check for VLDn unaligned access", ARM::FeatureCheckVLDnAlign, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "vmlx-forwarding", "Has multiplier accumulator forwarding", ARM::FeatureVMLxForwarding, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "vmlx-hazards", "Has VMLx hazards", ARM::FeatureHasVMLxHazards, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "wide-stride-vfp", "Use a wide stride when allocating VFP registers", ARM::FeatureUseWideStrideVFP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "xscale", "ARMv5te architecture", ARM::XScale, { { { 0x80ULL, 0x0ULL, 0x0ULL, } } } },
{ "zcz", "Has zero-cycle zeroing instructions", ARM::FeatureZCZeroing, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
};
#ifdef DBGFIELD
#error "<target>GenSubtargetInfo.inc requires a DBGFIELD macro"
#endif
#if !defined(NDEBUG) || defined(LLVM_ENABLE_DUMP)
#define DBGFIELD(x) x,
#else
#define DBGFIELD(x)
#endif
// Functional units for "ARMV6Itineraries"
namespace ARMV6ItinerariesFU {
const unsigned V6_Pipe = 1 << 0;
} // end namespace ARMV6ItinerariesFU
// Functional units for "CortexA9Itineraries"
namespace CortexA9ItinerariesFU {
const unsigned A9_Issue0 = 1 << 0;
const unsigned A9_Issue1 = 1 << 1;
const unsigned A9_Branch = 1 << 2;
const unsigned A9_ALU0 = 1 << 3;
const unsigned A9_ALU1 = 1 << 4;
const unsigned A9_AGU = 1 << 5;
const unsigned A9_NPipe = 1 << 6;
const unsigned A9_MUX0 = 1 << 7;
const unsigned A9_LSUnit = 1 << 8;
const unsigned A9_DRegsVFP = 1 << 9;
const unsigned A9_DRegsN = 1 << 10;
} // end namespace CortexA9ItinerariesFU
// Pipeline forwarding paths for itineraries "CortexA9Itineraries"
namespace CortexA9ItinerariesBypass {
const unsigned NoBypass = 0;
const unsigned A9_LdBypass = 1 << 0;
} // end namespace CortexA9ItinerariesBypass
// Functional units for "CortexA8Itineraries"
namespace CortexA8ItinerariesFU {
const unsigned A8_Pipe0 = 1 << 0;
const unsigned A8_Pipe1 = 1 << 1;
const unsigned A8_LSPipe = 1 << 2;
const unsigned A8_NPipe = 1 << 3;
const unsigned A8_NLSPipe = 1 << 4;
} // end namespace CortexA8ItinerariesFU
extern const llvm::InstrStage ARMStages[] = {
{ 0, 0, 0, llvm::InstrStage::Required }, // No itinerary
{ 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 1
{ 2, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 2
{ 3, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 3-4
{ 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 5-6
{ 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 7-9
{ 3, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 10
{ 29, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 11
{ 15, ARMV6ItinerariesFU::V6_Pipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 12
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 13-14
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 15-16
{ 1, CortexA9ItinerariesFU::A9_Issue0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_Branch, -1, (llvm::InstrStage::ReservationKinds)0 }, // 17-19
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 1, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_Branch, -1, (llvm::InstrStage::ReservationKinds)0 }, // 20-24
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 25-28
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 29-33
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_ALU0, -1, (llvm::InstrStage::ReservationKinds)0 }, // 34-35
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 36-39
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 40-41
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 42-45
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 46-51
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_ALU0 | CortexA9ItinerariesFU::A9_ALU1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 52-54
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 55-58
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_AGU, 1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 59-62
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_ALU0, -1, (llvm::InstrStage::ReservationKinds)0 }, // 63-64
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 65-69
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 70-74
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_AGU, 1, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 75-78
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_AGU, 1, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_Branch, -1, (llvm::InstrStage::ReservationKinds)0 }, // 79-83
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 84-88
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, 0, (llvm::InstrStage::ReservationKinds)0 }, // 89-92
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 93
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_AGU, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 94-97
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 98-102
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 103-107
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 108-112
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 10, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 4, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 113-117
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 26, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 20, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 118-122
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 16, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 10, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 123-127
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 128-132
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 10, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 133-137
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 138-142
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 143-148
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 149-154
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 3, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 155-160
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 11, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 5, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 161-166
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 10, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 4, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 167-172
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 173-178
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 179-184
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, // 185-186
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 187-191
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 6, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 192-196
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 197-201
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 11, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 202-206
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 12, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 207-211
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 212-216
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 33, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 28, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 217-221
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)0 }, { 18, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)1 }, { 13, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 222-226
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 1, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 227-232
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 233-238
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 3, CortexA9ItinerariesFU::A9_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA9ItinerariesFU::A9_LSUnit, -1, (llvm::InstrStage::ReservationKinds)0 }, // 239-244
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 245-249
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 3, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 250-254
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 3, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 255-259
{ 1, CortexA9ItinerariesFU::A9_Issue0 | CortexA9ItinerariesFU::A9_Issue1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_MUX0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA9ItinerariesFU::A9_DRegsN, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA9ItinerariesFU::A9_DRegsVFP, 0, (llvm::InstrStage::ReservationKinds)1 }, { 2, CortexA9ItinerariesFU::A9_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 260-264
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 265
{ 3, CortexA8ItinerariesFU::A8_Pipe0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 266-268
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 269-270
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 271-273
{ 2, CortexA8ItinerariesFU::A8_Pipe0, -1, (llvm::InstrStage::ReservationKinds)0 }, // 274
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 275-276
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, // 277-279
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 280-282
{ 3, CortexA8ItinerariesFU::A8_Pipe0, -1, (llvm::InstrStage::ReservationKinds)0 }, // 283
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 284-285
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 286-288
{ 3, CortexA8ItinerariesFU::A8_Pipe0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 289-290
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 291-292
{ 2, CortexA8ItinerariesFU::A8_Pipe0, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 293-294
{ 2, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 295-296
{ 1, CortexA8ItinerariesFU::A8_Pipe0, -1, (llvm::InstrStage::ReservationKinds)0 }, // 297
{ 2, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 298-299
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 300-301
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 9, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 302-304
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 305-307
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 7, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 308-310
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 29, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 29, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 311-313
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 20, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 20, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 314-316
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 317-318
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 19, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 19, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 319-321
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 8, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 322-324
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 325-327
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, -1, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 328-330
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 331-333
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 334-336
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 5, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 337-339
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 4, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 340-342
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 343-347
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_LSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 348-350
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NLSPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 3, CortexA8ItinerariesFU::A8_NPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 351-354
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 11, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 11, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 355-357
{ 1, CortexA8ItinerariesFU::A8_Pipe0 | CortexA8ItinerariesFU::A8_Pipe1, 0, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, { 1, CortexA8ItinerariesFU::A8_NPipe, 0, (llvm::InstrStage::ReservationKinds)0 }, { 2, CortexA8ItinerariesFU::A8_NLSPipe, -1, (llvm::InstrStage::ReservationKinds)0 }, // 358-361
{ 0, 0, 0, llvm::InstrStage::Required } // End stages
};
extern const unsigned ARMOperandCycles[] = {
0, // No itinerary
2, 2, // 1-2
2, 2, 2, // 3-5
3, 3, 2, 1, // 6-9
3, 3, 2, 1, // 10-13
1, 2, 1, 1, 4, // 14-18
4, 1, // 19-20
3, 1, // 21-22
5, 1, 1, 2, // 23-26
3, // 27
2, // 28
4, // 29
3, 2, // 30-31
4, 2, 1, // 32-34
3, // 35
5, // 36
2, // 37
2, 1, // 38-39
5, 1, 1, // 40-42
2, 2, 2, // 43-45
4, 1, 1, // 46-48
4, 1, 1, // 49-51
2, 1, 1, // 52-54
2, 1, 1, // 55-57
6, 1, 1, 2, // 58-61
6, 1, 1, // 62-64
2, 2, 1, 1, // 65-68
5, 2, // 69-70
5, 2, // 71-72
2, 2, 1, // 73-75
3, 1, // 76-77
2, 2, 1, // 78-80
2, 2, 1, 1, // 81-84
2, 2, 2, // 85-87
2, 2, // 88-89
2, 1, 1, 1, 4, // 90-94
1, 2, 4, // 95-97
3, 3, 2, 1, // 98-101
2, 2, // 102-103
2, 2, 2, // 104-106
3, 3, 2, 1, // 107-110
3, 3, 2, 1, // 111-114
2, 1, // 115-116
2, 2, // 117-118
2, // 119
2, 2, // 120-121
3, 2, 1, // 122-124
3, 2, 1, // 125-127
3, // 128
1, 1, 1, 1, 4, // 129-133
4, 2, 1, 1, // 134-137
4, 2, 1, // 138-140
5, 2, 1, // 141-143
4, 1, 1, // 144-146
4, 2, 1, 1, // 147-150
4, 2, 1, 1, // 151-154
4, 2, 1, // 155-157
5, 2, 1, // 158-160
2, 2, // 161-162
3, 2, 1, // 163-165
2, // 166
2, 2, // 167-168
3, 2, 1, // 169-171
2, 2, 1, // 172-174
4, 1, 1, 2, // 175-178
4, 1, 1, // 179-181
2, // 182
2, 2, 1, 1, // 183-186
2, 2, 1, // 187-189
2, 2, 1, // 190-192
2, 1, 1, // 193-195
2, 2, 1, 1, // 196-199
2, 2, 1, // 200-202
2, 2, 1, // 203-205
2, 2, 1, // 206-208
1, 1, // 209-210
2, // 211
2, 2, // 212-213
3, 2, 1, // 214-216
3, 2, 1, // 217-219
6, 1, 1, // 220-222
9, 2, 2, // 223-225
9, 2, 2, // 226-228
2, 2, // 229-230
2, 2, // 231-232
5, 2, // 233-234
5, 2, // 235-236
34, 2, 2, // 237-239
20, 2, 2, // 240-242
9, 2, 2, 2, // 243-246
9, 2, 2, 2, // 247-250
9, 2, // 251-252
3, 2, 1, 1, 5, // 253-257
2, 1, 1, 5, // 258-261
5, 2, 2, // 262-264
5, 2, 2, // 265-267
9, 2, 2, 2, // 268-271
9, 2, 2, 2, // 272-275
10, 1, 1, // 276-278
10, 1, // 279-280
10, 1, // 281-282
10, 10, 1, // 283-285
9, 2, 2, // 286-288
9, 2, 2, // 289-291
9, 2, // 292-293
9, 2, // 294-295
34, 2, 2, // 296-298
20, 2, 2, // 299-301
3, 2, 2, 2, 2, // 302-306
2, 2, 2, 2, // 307-310
2, 2, 2, // 311-313
2, 2, 2, // 314-316
9, 2, // 317-318
9, 2, // 319-320
2, 1, // 321-322
2, 2, // 323-324
2, 1, // 325-326
2, // 327
2, 2, // 328-329
2, 1, // 330-331
4, 1, // 332-333
4, 2, 1, // 334-336
5, 2, 1, // 337-339
4, 2, 1, 1, // 340-343
4, 1, // 344-345
4, 1, // 346-347
4, 2, 1, // 348-350
5, 2, 1, // 351-353
2, 1, // 354-355
4, 1, 1, // 356-358
5, 1, 1, 2, // 359-362
2, 1, // 363-364
2, 2, 1, // 365-367
2, 2, 1, // 368-370
2, 2, 1, 1, // 371-374
2, 1, 1, // 375-377
2, 2, 1, // 378-380
2, 1, // 381-382
2, 2, 1, // 383-385
3, 3, 2, 1, // 386-389
1, 1, // 390-391
2, // 392
2, 2, // 393-394
2, 1, // 395-396
2, 2, 2, // 397-399
4, 1, 1, // 400-402
4, 1, 1, // 403-405
1, 1, 4, // 406-408
2, 1, 1, // 409-411
2, 1, 1, // 412-414
2, 2, // 415-416
2, 2, // 417-418
2, 2, 2, // 419-421
3, 3, 2, 1, // 422-425
3, 3, 2, 1, // 426-429
2, 1, // 430-431
3, 2, 1, // 432-434
3, 2, 1, // 435-437
4, 2, 1, // 438-440
2, 2, // 441-442
4, // 443
2, // 444
3, // 445
5, // 446
2, 1, // 447-448
5, 1, 1, // 449-451
5, 1, 1, 2, // 452-455
6, 1, 1, // 456-458
6, 1, 1, // 459-461
6, 1, 1, 2, // 462-465
4, 1, 1, // 466-468
4, 1, 1, 2, // 469-472
4, 1, 1, // 473-475
5, 2, 1, // 476-478
4, 1, 1, // 479-481
4, 2, 1, 1, // 482-485
1, 1, // 486-487
1, 1, // 488-489
4, // 490
2, // 491
3, // 492
2, // 493
2, 1, // 494-495
2, 2, // 496-497
2, 2, 2, // 498-500
2, 2, 2, // 501-503
2, 2, 2, // 504-506
2, 2, 1, // 507-509
3, 3, 2, 1, // 510-513
5, 1, 1, // 514-516
4, 1, 1, // 517-519
5, 1, 1, 2, // 520-523
5, 1, 1, 2, // 524-527
5, 1, 1, 2, // 528-531
4, 1, 1, // 532-534
5, 1, 1, 2, // 535-538
5, 1, 1, 2, // 539-542
6, 1, 1, // 543-545
6, 1, 1, // 546-548
6, 1, 1, 2, // 549-552
4, 1, 1, // 553-555
4, 1, 1, // 556-558
5, 2, 1, // 559-561
3, 1, // 562-563
4, 1, // 564-565
5, 2, 1, // 566-568
4, 1, // 569-570
5, 2, 1, // 571-573
4, 1, 1, // 574-576
4, 1, 1, // 577-579
4, 1, 1, // 580-582
4, 1, 1, // 583-585
4, 1, // 586-587
5, 2, 1, // 588-590
4, 1, 1, // 591-593
4, 2, 1, 1, // 594-597
4, 2, 1, // 598-600
4, 2, 1, 1, // 601-604
4, 2, 1, // 605-607
4, 2, 1, 1, // 608-611
4, 2, 1, // 612-614
4, 2, 1, // 615-617
4, 1, // 618-619
4, 1, // 620-621
4, 2, 1, // 622-624
4, 1, // 625-626
4, 1, // 627-628
4, 1, 1, // 629-631
4, 2, 1, 1, // 632-635
4, 2, 1, 1, // 636-639
1, 1, 1, 1, 4, // 640-644
2, 1, 1, 1, 4, // 645-649
1, 2, 1, 1, 4, // 650-654
1, 2, 4, // 655-657
1, 1, 4, // 658-660
2, 1, 1, // 661-663
2, 1, 1, // 664-666
2, 2, 1, // 667-669
2, 2, 1, // 670-672
2, 1, // 673-674
2, 2, 1, // 675-677
2, 1, // 678-679
2, 2, 1, // 680-682
2, 1, 1, // 683-685
2, 1, 1, // 686-688
2, 2, 1, 1, // 689-692
2, 2, 1, // 693-695
2, 2, 1, 1, // 696-699
2, 2, 1, // 700-702
2, 2, 1, // 703-705
2, 2, 1, // 706-708
2, 2, 1, 1, // 709-712
2, 1, // 713-714
2, 1, // 715-716
2, 1, 1, // 717-719
2, 1, 1, // 720-722
2, 2, 1, 1, // 723-726
2, 2, 1, 1, // 727-730
2, // 731
4, 1, // 732-733
3, 1, // 734-735
5, 2, // 736-737
5, 2, // 738-739
2, 2, // 740-741
2, 2, // 742-743
9, 2, 2, // 744-746
9, 2, 2, // 747-749
9, 2, 2, // 750-752
9, 2, 2, 2, // 753-756
9, 2, 2, 2, // 757-760
9, 2, 2, 2, // 761-764
9, 2, 2, 2, // 765-768
5, 2, // 769-770
5, 2, // 771-772
9, 2, // 773-774
9, 2, // 775-776
9, 2, // 777-778
9, 2, // 779-780
5, 2, // 781-782
5, 2, // 783-784
10, 1, // 785-786
10, 1, // 787-788
10, 10, 1, // 789-791
10, 1, 1, // 792-794
10, 1, 1, // 795-797
3, // 798
3, // 799
3, // 800
5, 2, 2, // 801-803
5, 2, 2, // 804-806
2, 2, 2, // 807-809
2, 2, 2, // 810-812
2, 1, 1, 5, // 813-816
2, 2, 2, 2, // 817-820
2, 1, 1, 5, // 821-824
3, 2, 1, 1, 5, // 825-829
2, 2, 2, 2, // 830-833
3, 2, 2, 2, 2, // 834-838
20, 2, 2, // 839-841
20, 2, 2, // 842-844
34, 2, 2, // 845-847
34, 2, 2, // 848-850
3, // 851
2, // 852
3, 2, 1, // 853-855
2, 1, // 856-857
2, // 858
2, 2, // 859-860
2, 2, // 861-862
2, 2, // 863-864
3, // 865
2, // 866
2, 2, // 867-868
2, 1, // 869-870
2, 2, 2, // 871-873
2, 2, 2, // 874-876
3, 3, 2, 1, // 877-880
2, 2, 1, // 881-883
2, 2, 1, // 884-886
2, 2, 1, // 887-889
3, 3, 2, 1, // 890-893
3, 3, 2, 1, // 894-897
2, 2, // 898-899
2, // 900
3, 2, 1, // 901-903
2, // 904
2, 2, // 905-906
3, 2, 1, // 907-909
3, 2, 1, // 910-912
2, 2, // 913-914
2, 1, // 915-916
2, // 917
2, 2, // 918-919
3, 2, 1, // 920-922
3, 2, 1, // 923-925
5, 2, // 926-927
5, 2, // 928-929
3, // 930
3, // 931
2, // 932
2, 2, // 933-934
3, // 935
3, 2, // 936-937
2, 2, // 938-939
4, 2, 1, // 940-942
2, 1, // 943-944
3, 1, // 945-946
3, 2, // 947-948
2, // 949
2, 2, // 950-951
3, 2, 1, // 952-954
3, 2, 1, // 955-957
5, 1, 1, // 958-960
2, 2, 2, // 961-963
2, 2, 2, // 964-966
2, 2, 2, // 967-969
2, 2, 2, // 970-972
2, 2, 2, // 973-975
2, 1, // 976-977
2, 1, // 978-979
1, 1, // 980-981
1, 1, // 982-983
2, 2, // 984-985
2, 2, 1, // 986-988
3, 3, 2, 1, // 989-992
4, 1, 1, // 993-995
4, 1, 1, // 996-998
2, 2, 1, 1, // 999-1002
4, 1, // 1003-1004
4, 1, // 1005-1006
4, 2, 1, // 1007-1009
4, 2, 1, // 1010-1012
4, 2, 1, // 1013-1015
4, 2, 1, 1, // 1016-1019
4, 2, 1, 1, // 1020-1023
4, 2, 1, 1, // 1024-1027
4, 2, 1, 1, // 1028-1031
4, 2, 1, // 1032-1034
4, 2, 1, // 1035-1037
4, 2, 1, // 1038-1040
4, 2, 1, 1, // 1041-1044
4, 2, 1, 1, // 1045-1048
4, 2, 1, 1, // 1049-1052
4, 2, 1, 1, // 1053-1056
4, 2, 1, 1, // 1057-1060
4, 2, 1, 1, // 1061-1064
4, 2, 1, // 1065-1067
4, 2, 1, 1, // 1068-1071
4, 2, 1, 1, // 1072-1075
4, 2, 1, 1, // 1076-1079
4, 2, 1, 1, // 1080-1083
2, 1, 1, // 1084-1086
2, 1, // 1087-1088
2, 2, 1, // 1089-1091
2, 2, 1, // 1092-1094
2, 2, 1, 1, // 1095-1098
2, 2, 1, 1, // 1099-1102
2, 2, 1, // 1103-1105
2, 2, 1, // 1106-1108
2, 2, 1, 1, // 1109-1112
2, 2, 1, 1, // 1113-1116
2, 2, 1, 1, // 1117-1120
2, 2, 1, 1, // 1121-1124
2, 2, 1, 1, // 1125-1128
2, 2, 1, 1, // 1129-1132
2, 2, 1, // 1133-1135
2, 2, 1, 1, // 1136-1139
9, 2, // 1140-1141
9, 2, // 1142-1143
5, 2, // 1144-1145
5, 2, // 1146-1147
2, 2, 2, 2, // 1148-1151
3, 2, 2, 2, 2, // 1152-1156
1, 2, 1, 1, 4, // 1157-1161
2, 1, 1, 1, 4, // 1162-1166
1, 1, 1, 1, 4, // 1167-1171
4, 1, // 1172-1173
3, 1, // 1174-1175
2, // 1176
2, 2, // 1177-1178
2, // 1179
6, 1, 1, 2, // 1180-1183
2, 2, // 1184-1185
1, 1, // 1186-1187
1, 1, 1, // 1188-1190
3, 1, 1, 1, // 1191-1194
3, 1, 1, 1, // 1195-1198
1, 2, 1, 1, 3, // 1199-1203
3, 1, // 1204-1205
2, 1, // 1206-1207
4, 1, 1, 1, // 1208-1211
1, // 1212
1, // 1213
2, // 1214
1, 1, // 1215-1216
2, 1, 1, // 1217-1219
3, // 1220
5, // 1221
2, // 1222
1, 1, // 1223-1224
4, 1, 1, // 1225-1227
1, 1, 1, // 1228-1230
3, 1, 1, // 1231-1233
4, 1, 1, // 1234-1236
1, 1, 1, // 1237-1239
1, 1, 1, // 1240-1242
4, 5, 1, 1, // 1243-1246
4, 5, 1, 1, // 1247-1250
2, 1, 1, 1, // 1251-1254
3, // 1255
1, 1, // 1256-1257
1, 1, // 1258-1259
2, 1, 1, // 1260-1262
1, 1, // 1263-1264
2, 1, 1, // 1265-1267
2, 1, 1, 1, // 1268-1271
1, 1, 1, // 1272-1274
1, 1, // 1275-1276
2, 1, 1, 1, 3, // 1277-1281
1, 1, 3, // 1282-1284
3, 1, 1, 1, // 1285-1288
1, 1, // 1289-1290
1, 1, 1, // 1291-1293
3, 1, 1, 1, // 1294-1297
3, 1, 1, 1, // 1298-1301
2, 1, // 1302-1303
1, 1, // 1304-1305
1, // 1306
1, 1, // 1307-1308
1, 1, 1, // 1309-1311
1, 1, 1, // 1312-1314
1, // 1315
1, 1, 1, 1, 3, // 1316-1320
4, 3, 1, 1, // 1321-1324
4, 3, 1, // 1325-1327
5, 1, 1, // 1328-1330
3, 3, 1, 1, // 1331-1334
3, 3, 1, 1, // 1335-1338
3, 2, 1, 1, // 1339-1342
3, 2, 1, // 1343-1345
4, 1, 1, // 1346-1348
1, 1, // 1349-1350
2, 1, 1, // 1351-1353
1, // 1354
1, 1, // 1355-1356
3, 1, 1, // 1357-1359
2, 1, 1, // 1360-1362
1, 1, // 1363-1364
3, 1, 1, 1, // 1365-1368
3, 1, 1, // 1369-1371
2, // 1372
3, 1, 1, 1, // 1373-1376
3, 1, 1, // 1377-1379
1, 1, 1, // 1380-1382
1, 1, 1, // 1383-1385
3, 1, 1, 1, // 1386-1389
2, 1, 1, // 1390-1392
1, 1, 1, // 1393-1395
3, 1, 1, // 1396-1398
2, 1, // 1399-1400
1, // 1401
1, 1, // 1402-1403
1, 1, 1, // 1404-1406
1, 1, 1, // 1407-1409
4, 5, 1, 1, // 1410-1413
6, 3, 2, 1, // 1414-1417
6, 3, 2, 1, // 1418-1421
4, 2, 1, // 1422-1424
5, 2, 2, // 1425-1427
6, 2, 2, // 1428-1430
4, 2, 1, // 1431-1433
5, 2, // 1434-1435
6, 2, // 1436-1437
4, 2, // 1438-1439
4, 2, // 1440-1441
4, 1, 1, // 1442-1444
4, 2, 2, // 1445-1447
3, 1, 1, // 1448-1450
4, 1, 1, // 1451-1453
3, 2, 1, // 1454-1456
3, 2, 2, // 1457-1459
3, 2, 2, // 1460-1462
3, 2, 2, // 1463-1465
4, 2, 2, // 1466-1468
6, 3, 2, 1, // 1469-1472
8, 4, 2, 1, // 1473-1476
1, 1, // 1477-1478
1, 1, // 1479-1480
4, 1, // 1481-1482
2, 1, // 1483-1484
4, 1, // 1485-1486
4, 1, // 1487-1488
25, 1, 1, // 1489-1491
15, 1, 1, // 1492-1494
1, 1, // 1495-1496
2, 1, // 1497-1498
2, 1, // 1499-1500
2, 1, 1, // 1501-1503
3, 1, 2, // 1504-1506
9, 1, 1, 1, // 1507-1510
8, 1, 1, 1, // 1511-1514
6, 3, 2, 1, // 1515-1518
8, 4, 2, 1, // 1519-1522
2, 1, // 1523-1524
4, 2, 2, // 1525-1527
4, 1, // 1528-1529
2, 1, // 1530-1531
2, 2, 1, 1, // 1532-1535
2, 1, // 1536-1537
2, 2, 1, 1, // 1538-1541
3, 1, 1, 1, // 1542-1545
3, 2, 1, 1, 1, 1, // 1546-1551
3, 1, 1, 1, // 1552-1555
1, 1, // 1556-1557
1, 1, 2, 2, 1, // 1558-1562
1, 1, 2, 1, // 1563-1566
1, 1, 2, 1, // 1567-1570
1, 1, 2, 1, // 1571-1574
1, 2, 1, // 1575-1577
1, 1, 1, // 1578-1580
1, 1, 2, 1, // 1581-1584
2, 2, 1, // 1585-1587
2, 2, 2, 1, 1, // 1588-1592
2, 2, 1, // 1593-1595
3, 3, 1, 1, 1, 1, // 1596-1601
3, 3, 2, 1, 1, 1, 1, 1, // 1602-1609
3, 3, 2, 1, 1, 1, 1, 1, // 1610-1617
2, 2, 1, // 1618-1620
2, 2, 2, 1, 1, 1, // 1621-1626
2, 3, 2, 3, 1, // 1627-1631
2, 3, 2, 3, 2, 1, // 1632-1637
3, 3, 4, 1, // 1638-1641
3, 3, 4, 2, 1, 1, // 1642-1647
5, 5, 6, 1, 1, 1, 1, 2, // 1648-1655
5, 5, 6, 2, 1, 1, 1, 1, 1, 2, // 1656-1665
3, 3, 4, 1, // 1666-1669
3, 3, 4, 2, 1, // 1670-1674
2, 2, 3, 3, 1, // 1675-1679
2, 2, 3, 3, 1, // 1680-1684
2, 2, 3, 3, 2, 1, 1, // 1685-1691
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 1692-1701
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 1702-1713
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 1714-1725
3, 3, 4, 4, 1, // 1726-1730
3, 3, 4, 4, 2, 1, // 1731-1736
2, 1, 1, 1, // 1737-1740
1, 1, 1, 1, // 1741-1744
2, 1, // 1745-1746
1, 1, // 1747-1748
9, 1, 1, 1, // 1749-1752
7, 3, 2, 1, // 1753-1756
6, 3, 2, 2, // 1757-1760
8, 1, 1, 1, // 1761-1764
9, 3, 2, 1, // 1765-1768
7, 3, 2, 2, // 1769-1772
1, 1, 1, // 1773-1775
1, 1, // 1776-1777
4, 1, // 1778-1779
3, 1, // 1780-1781
2, 1, // 1782-1783
2, 1, 1, // 1784-1786
6, 1, 1, // 1787-1789
6, 2, 2, // 1790-1792
7, 2, 1, // 1793-1795
5, 1, 1, // 1796-1798
5, 2, 1, // 1799-1801
6, 2, 1, // 1802-1804
7, 2, 2, // 1805-1807
9, 2, 1, // 1808-1810
3, 1, 1, // 1811-1813
6, 3, 1, // 1814-1816
6, 3, 1, // 1817-1819
5, 1, 1, // 1820-1822
4, 1, // 1823-1824
4, 1, 1, // 1825-1827
4, 1, 1, // 1828-1830
9, 2, 2, // 1831-1833
10, 2, 2, // 1834-1836
3, 1, 1, // 1837-1839
4, 1, // 1840-1841
4, 1, // 1842-1843
32, 1, // 1844-1845
17, 1, // 1846-1847
1, 1, 1, // 1848-1850
2, 1, 1, 1, 1, // 1851-1855
1, 1, 1, // 1856-1858
1, 1, 1, 1, 2, 2, // 1859-1864
1, 1, 2, 2, 2, 1, // 1865-1870
1, 1, 1, 1, 2, // 1871-1875
1, 1, 2, 2, 1, // 1876-1880
1, 2, 1, // 1881-1883
2, 1, 1, 1, 1, 1, 2, 2, // 1884-1891
2, 1, 1, 1, 1, 1, 2, // 1892-1898
1, 1, 1, 1, // 1899-1902
1, 1, 2, 1, // 1903-1906
1, 1, 1, 1, // 1907-1910
2, 1, 1, 1, 1, 1, // 1911-1916
2, 1, 1, 1, 1, 1, // 1917-1922
1, 1, 1, 1, // 1923-1926
1, 2, 1, // 1927-1929
1, 1, 1, 1, // 1930-1933
1, 1, 1, 1, 2, 2, // 1934-1939
2, 1, 1, 1, 1, 1, 2, 2, // 1940-1947
1, 2, 1, // 1948-1950
1, 1, 1, 1, 2, // 1951-1955
2, 1, 1, 1, 1, 1, 2, // 1956-1962
2, 1, 1, 1, 1, 1, 2, // 1963-1969
1, 1, 1, 1, 2, // 1970-1974
1, 1, 1, 1, 2, // 1975-1979
2, 1, 1, 1, 1, 1, 2, // 1980-1986
1, 1, 1, 1, 2, 2, // 1987-1992
2, 1, 1, 1, 1, 1, 2, 2, // 1993-2000
2, 1, 1, 1, 1, 1, 2, 2, // 2001-2008
1, 1, 1, 1, 2, 2, // 2009-2014
2, 1, 1, 1, 1, 1, 2, 2, // 2015-2022
2, 1, 1, 1, // 2023-2026
1, 1, 1, 1, // 2027-2030
1, 1, // 2031-2032
1, 1, // 2033-2034
3, 2, 1, // 2035-2037
3, 2, 1, // 2038-2040
3, 2, 2, 1, // 2041-2044
4, 2, 2, 3, 1, // 2045-2049
4, 2, 2, 3, 3, 1, // 2050-2055
3, 1, 2, 1, // 2056-2059
3, 1, 2, 2, 1, // 2060-2064
4, 1, 2, 2, 3, 1, // 2065-2070
4, 1, 2, 2, 3, 3, 1, // 2071-2077
4, 1, // 2078-2079
4, 1, // 2080-2081
2, 2, 1, 1, // 2082-2085
3, 3, 1, 1, // 2086-2089
4, 4, 1, 1, // 2090-2093
2, 1, // 2094-2095
1, 1, // 2096-2097
1, 1, // 2098-2099
1, // 2100
1, 1, // 2101-2102
1, 1, // 2103-2104
4, 1, // 2105-2106
4, 3, 1, // 2107-2109
5, 1, 1, // 2110-2112
3, 3, 1, 1, // 2113-2116
3, 3, 1, // 2117-2119
3, 1, // 2120-2121
3, 2, 1, // 2122-2124
4, 1, 1, // 2125-2127
2, 1, // 2128-2129
2, 1, 1, // 2130-2132
3, 1, 1, // 2133-2135
4, 1, 1, 1, // 2136-2139
1, 1, // 2140-2141
3, 1, 1, // 2142-2144
1, 1, 1, // 2145-2147
3, 1, 1, 1, // 2148-2151
1, 1, 1, // 2152-2154
2, 1, 1, // 2155-2157
1, 1, // 2158-2159
1, 1, 1, // 2160-2162
3, 1, 1, 1, // 2163-2166
2, 1, // 2167-2168
1, // 2169
1, 1, // 2170-2171
1, 1, // 2172-2173
1, 1, 1, // 2174-2176
4, 1, 1, // 2177-2179
3, 1, 1, // 2180-2182
1, 1, 3, // 2183-2185
1, 1, 1, // 2186-2188
1, 1, 1, // 2189-2191
1, 1, // 2192-2193
1, 1, // 2194-2195
1, 1, 1, // 2196-2198
3, 1, 1, 1, // 2199-2202
3, 1, 1, 1, // 2203-2206
1, 1, // 2207-2208
2, 1, 1, // 2209-2211
3, 1, 1, // 2212-2214
2, 1, 1, // 2215-2217
1, 1, // 2218-2219
2, // 2220
2, // 2221
3, // 2222
5, // 2223
2, 1, // 2224-2225
4, 1, 1, // 2226-2228
4, 1, 1, 1, // 2229-2232
4, 5, 1, 1, // 2233-2236
4, 5, 1, 1, // 2237-2240
4, 5, 1, 1, // 2241-2244
3, 1, 1, // 2245-2247
3, 1, 1, 1, // 2248-2251
3, 1, 1, // 2252-2254
4, 1, 1, // 2255-2257
4, 1, 1, // 2258-2260
4, 3, 1, 1, // 2261-2264
2, 1, // 2265-2266
2, 1, // 2267-2268
2, // 2269
2, // 2270
3, // 2271
1, // 2272
2, 1, // 2273-2274
1, 1, // 2275-2276
1, 1, 1, // 2277-2279
1, 1, 1, // 2280-2282
1, 1, 1, // 2283-2285
3, 1, 1, // 2286-2288
3, 1, 1, 1, // 2289-2292
4, 1, 1, // 2293-2295
3, 1, 1, // 2296-2298
4, 1, 1, 1, // 2299-2302
4, 1, 1, 1, // 2303-2306
4, 1, 1, 1, // 2307-2310
3, 1, 1, // 2311-2313
4, 1, 1, 1, // 2314-2317
4, 1, 1, 1, // 2318-2321
4, 5, 1, 1, // 2322-2325
4, 5, 1, 1, // 2326-2329
4, 5, 1, 1, // 2330-2333
3, 1, 1, // 2334-2336
4, 1, 1, // 2337-2339
5, 1, 1, // 2340-2342
2, 1, // 2343-2344
3, 1, // 2345-2346
4, 1, 1, // 2347-2349
4, 1, // 2350-2351
5, 1, 1, // 2352-2354
4, 1, 1, // 2355-2357
3, 1, 1, // 2358-2360
4, 1, 1, // 2361-2363
3, 1, 1, // 2364-2366
4, 1, // 2367-2368
5, 1, 1, // 2369-2371
4, 1, 1, // 2372-2374
4, 3, 1, 1, // 2375-2378
4, 3, 1, // 2379-2381
3, 2, 1, 1, // 2382-2385
3, 2, 1, // 2386-2388
4, 3, 1, 1, // 2389-2392
4, 3, 1, // 2393-2395
3, 2, 1, // 2396-2398
4, 1, // 2399-2400
3, 1, // 2401-2402
4, 3, 1, // 2403-2405
4, 1, // 2406-2407
3, 3, 1, // 2408-2410
3, 3, 1, 1, // 2411-2414
3, 3, 1, 1, // 2415-2418
3, 3, 1, 1, // 2419-2422
1, 1, 1, 1, 3, // 2423-2427
2, 1, 1, 1, 3, // 2428-2432
1, 2, 1, 1, 3, // 2433-2437
1, 1, 3, // 2438-2440
1, 1, 3, // 2441-2443
1, 1, 1, // 2444-2446
1, 1, 1, // 2447-2449
1, 1, 1, // 2450-2452
1, 1, 1, // 2453-2455
1, 1, // 2456-2457
1, 1, 1, // 2458-2460
1, 1, // 2461-2462
1, 1, 1, // 2463-2465
1, 1, 1, // 2466-2468
1, 1, 1, // 2469-2471
3, 1, 1, 1, // 2472-2475
3, 1, 1, // 2476-2478
2, 1, 1, 1, // 2479-2482
2, 1, 1, // 2483-2485
2, 1, 1, // 2486-2488
3, 1, 1, // 2489-2491
2, 1, 1, 1, // 2492-2495
1, 1, // 2496-2497
1, 1, // 2498-2499
1, 1, 1, // 2500-2502
1, 1, 1, // 2503-2505
3, 1, 1, 1, // 2506-2509
3, 1, 1, 1, // 2510-2513
2, // 2514
3, 1, // 2515-2516
2, 1, // 2517-2518
3, 2, 2, // 2519-2521
3, 2, 2, // 2522-2524
3, 2, 1, // 2525-2527
3, 2, 1, // 2528-2530
6, 2, // 2531-2532
5, 2, // 2533-2534
3, 1, 1, // 2535-2537
3, 1, 1, // 2538-2540
4, 2, 2, // 2541-2543
4, 2, 2, // 2544-2546
4, 2, 1, // 2547-2549
4, 2, 1, // 2550-2552
3, // 2553
4, 1, 1, // 2554-2556
4, 1, 1, // 2557-2559
3, 2, 2, // 2560-2562
4, 2, 2, // 2563-2565
2, 1, 1, // 2566-2568
3, 1, 2, // 2569-2571
2, 1, // 2572-2573
2, 1, // 2574-2575
6, 3, 2, 1, // 2576-2579
6, 3, 2, 1, // 2580-2583
6, 3, 1, // 2584-2586
6, 3, 1, // 2587-2589
5, 2, 2, // 2590-2592
6, 2, 2, // 2593-2595
4, 2, 1, // 2596-2598
4, 2, 1, // 2599-2601
4, 1, 1, // 2602-2604
4, 1, 1, // 2605-2607
5, 2, // 2608-2609
6, 2, // 2610-2611
4, 2, // 2612-2613
4, 2, // 2614-2615
4, 1, // 2616-2617
4, 1, // 2618-2619
4, 2, 2, // 2620-2622
4, 2, 2, // 2623-2625
5, 2, // 2626-2627
6, 2, // 2628-2629
4, 2, 2, // 2630-2632
3, 1, 1, // 2633-2635
4, 2, 2, // 2636-2638
4, 1, 1, // 2639-2641
3, 2, 1, // 2642-2644
3, 1, 2, 1, // 2645-2648
3, 2, 2, 1, // 2649-2652
3, 1, 2, 2, 1, // 2653-2657
4, 2, 2, 3, 1, // 2658-2662
4, 1, 2, 2, 3, 1, // 2663-2668
4, 2, 2, 3, 3, 1, // 2669-2674
4, 1, 2, 2, 3, 3, 1, // 2675-2681
2, 2, 1, 1, // 2682-2685
3, 3, 1, 1, // 2686-2689
4, 4, 1, 1, // 2690-2693
1, 1, // 2694-2695
1, 1, // 2696-2697
1, 1, // 2698-2699
1, 1, // 2700-2701
4, 1, 1, // 2702-2704
5, 2, 2, // 2705-2707
6, 2, 2, // 2708-2710
4, 2, 1, // 2711-2713
4, 2, 1, // 2714-2716
5, 1, 1, // 2717-2719
4, 1, 1, // 2720-2722
9, 2, 2, // 2723-2725
10, 2, 2, // 2726-2728
5, 1, 1, // 2729-2731
5, 2, 1, // 2732-2734
6, 2, 1, // 2735-2737
6, 2, 2, // 2738-2740
7, 2, 2, // 2741-2743
5, 2, 2, // 2744-2746
6, 2, 2, // 2747-2749
7, 2, 1, // 2750-2752
9, 2, 1, // 2753-2755
9, 1, 1, 1, // 2756-2759
7, 3, 2, 1, // 2760-2763
6, 3, 2, 2, // 2764-2767
8, 1, 1, 1, // 2768-2771
6, 3, 2, 1, // 2772-2775
8, 4, 2, 1, // 2776-2779
9, 3, 2, 1, // 2780-2783
7, 3, 2, 2, // 2784-2787
9, 1, 1, 1, // 2788-2791
8, 1, 1, 1, // 2792-2795
6, 3, 2, 1, // 2796-2799
8, 4, 2, 1, // 2800-2803
4, 1, // 2804-2805
2, 1, // 2806-2807
4, 1, // 2808-2809
4, 1, // 2810-2811
6, 2, // 2812-2813
5, 2, // 2814-2815
4, 1, // 2816-2817
4, 1, // 2818-2819
4, 1, // 2820-2821
4, 1, // 2822-2823
3, // 2824
1, 1, // 2825-2826
1, 1, // 2827-2828
3, 2, 1, // 2829-2831
3, 1, // 2832-2833
4, 1, // 2834-2835
4, 1, // 2836-2837
2, 1, // 2838-2839
2, 1, // 2840-2841
1, 1, // 2842-2843
2, 1, // 2844-2845
1, 1, // 2846-2847
3, 1, 1, // 2848-2850
2, 1, 1, // 2851-2853
1, 1, 1, // 2854-2856
1, 1, 1, // 2857-2859
2, 1, // 2860-2861
2, 1, // 2862-2863
1, // 2864
1, // 2865
1, // 2866
2, 1, // 2867-2868
1, 1, // 2869-2870
1, 1, // 2871-2872
1, 1, // 2873-2874
1, 1, 1, 1, // 2875-2878
1, 1, 1, 1, // 2879-2882
1, 1, 1, 1, // 2883-2886
2, 1, 1, 1, // 2887-2890
1, 1, 1, 1, // 2891-2894
2, 1, 1, 1, // 2895-2898
1, 1, // 2899-2900
1, 1, 1, // 2901-2903
1, 2, 1, // 2904-2906
1, 1, 2, 1, // 2907-2910
1, 1, 2, 1, // 2911-2914
1, 1, 2, 1, // 2915-2918
1, 1, 2, 2, 1, // 2919-2923
1, 1, 2, 1, // 2924-2927
2, 2, 1, // 2928-2930
2, 3, 2, 3, 1, // 2931-2935
2, 2, 2, 1, 1, 1, // 2936-2941
2, 3, 2, 3, 2, 1, // 2942-2947
3, 3, 4, 1, // 2948-2951
3, 3, 4, 1, // 2952-2955
3, 3, 4, 2, 1, // 2956-2960
3, 3, 4, 2, 1, // 2961-2965
3, 3, 4, 4, 1, // 2966-2970
3, 3, 4, 4, 1, // 2971-2975
3, 3, 4, 4, 2, 1, // 2976-2981
3, 3, 4, 4, 2, 1, // 2982-2987
2, 1, // 2988-2989
2, 1, // 2990-2991
3, 1, 1, 1, // 2992-2995
3, 1, 1, 1, // 2996-2999
2, 2, 1, 1, // 3000-3003
2, 2, 1, 1, // 3004-3007
3, 2, 1, 1, 1, 1, // 3008-3013
2, 2, 1, // 3014-3016
3, 3, 1, 1, 1, 1, // 3017-3022
3, 3, 2, 1, 1, 1, 1, 1, // 3023-3030
2, 2, 2, 1, 1, // 3031-3035
3, 3, 2, 1, 1, 1, 1, 1, // 3036-3043
3, 3, 4, 1, // 3044-3047
5, 5, 6, 1, 1, 1, 1, 2, // 3048-3055
3, 3, 4, 2, 1, 1, // 3056-3061
5, 5, 6, 2, 1, 1, 1, 1, 1, 2, // 3062-3071
3, 3, 4, 2, 1, 1, // 3072-3077
5, 5, 6, 2, 1, 1, 1, 1, 1, 2, // 3078-3087
2, 2, 3, 3, 1, // 3088-3092
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 3093-3102
2, 2, 3, 3, 1, // 3103-3107
2, 2, 3, 3, 2, 1, 1, // 3108-3114
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 3115-3126
2, 2, 3, 3, 2, 1, 1, // 3127-3133
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 3134-3145
1, 1, 1, // 3146-3148
1, 1, 1, 1, // 3149-3152
1, 2, 1, // 3153-3155
1, 1, 2, 1, // 3156-3159
1, 1, 1, 1, 2, // 3160-3164
1, 1, 2, 2, 1, // 3165-3169
2, 1, 1, 1, 1, 1, 2, // 3170-3176
1, 1, 1, 1, 2, 2, // 3177-3182
1, 1, 2, 2, 2, 1, // 3183-3188
2, 1, 1, 1, 1, 1, 2, 2, // 3189-3196
1, 1, 1, 1, // 3197-3200
1, 1, 1, 1, // 3201-3204
1, 2, 1, // 3205-3207
1, 1, 1, 1, 2, 2, // 3208-3213
1, 2, 1, // 3214-3216
2, 1, 1, 1, 1, 1, 2, 2, // 3217-3224
1, 1, 1, 1, 2, // 3225-3229
2, 1, 1, 1, 1, 1, 2, // 3230-3236
1, 1, 1, 1, 2, 2, // 3237-3242
2, 1, 1, 1, 1, 1, 2, 2, // 3243-3250
1, 1, 1, // 3251-3253
2, 1, 1, 1, 1, // 3254-3258
1, 1, 1, 1, // 3259-3262
2, 1, 1, 1, 1, 1, // 3263-3268
2, 1, 1, 1, 1, 1, // 3269-3274
1, 1, 1, 1, 2, // 3275-3279
1, 1, 1, 1, 2, // 3280-3284
2, 1, 1, 1, 1, 1, 2, // 3285-3291
2, 1, 1, 1, 1, 1, 2, // 3292-3298
1, 1, 1, 1, 2, 2, // 3299-3304
2, 1, 1, 1, 1, 1, 2, 2, // 3305-3312
2, 1, 1, 1, 1, 1, 2, 2, // 3313-3320
15, 1, 1, // 3321-3323
17, 1, // 3324-3325
25, 1, 1, // 3326-3328
32, 1, // 3329-3330
1, // 3331
1, // 3332
2, 1, 1, // 3333-3335
1, 1, // 3336-3337
1, // 3338
1, 1, // 3339-3340
1, 1, // 3341-3342
1, 1, // 3343-3344
1, // 3345
1, // 3346
1, 1, // 3347-3348
2, 1, // 3349-3350
1, 1, 1, // 3351-3353
1, 1, 1, // 3354-3356
3, 1, 1, 1, // 3357-3360
2, 1, 1, // 3361-3363
2, 1, 1, // 3364-3366
2, 1, 1, // 3367-3369
2, 1, 1, // 3370-3372
3, 1, 1, 1, // 3373-3376
3, 1, 1, 1, // 3377-3380
1, 1, // 3381-3382
1, // 3383
3, 1, 1, // 3384-3386
1, // 3387
1, 1, // 3388-3389
1, 1, 1, // 3390-3392
1, 1, 1, // 3393-3395
1, 1, // 3396-3397
1, 1, // 3398-3399
1, // 3400
1, 1, // 3401-3402
1, 1, 1, // 3403-3405
1, 1, 1, // 3406-3408
5, 2, 2, // 3409-3411
6, 2, 2, // 3412-3414
1, 1, // 3415-3416
1, 1, // 3417-3418
5, 2, // 3419-3420
6, 2, // 3421-3422
5, 2, 2, // 3423-3425
6, 2, 2, // 3426-3428
5, 2, 2, // 3429-3431
5, 2, 2, // 3432-3434
6, 2, 2, // 3435-3437
6, 2, 2, // 3438-3440
1, // 3441
1, // 3442
6, 3, 2, 1, // 3443-3446
4, 2, 1, // 3447-3449
4, 2, 1, // 3450-3452
4, 2, 1, // 3453-3455
3, 2, 2, // 3456-3458
3, 2, 1, // 3459-3461
3, 2, 2, // 3462-3464
3, 1, 1, // 3465-3467
3, 2, 2, // 3468-3470
3, 2, 2, // 3471-3473
3, // 3474
3, // 3475
3, 2, 2, // 3476-3478
3, 2, 2, // 3479-3481
3, 2, 2, // 3482-3484
4, 2, 2, // 3485-3487
4, 2, 1, // 3488-3490
4, 2, 1, // 3491-3493
4, 2, 2, // 3494-3496
3, 2, 2, // 3497-3499
1, 1, // 3500-3501
6, 3, 2, 1, // 3502-3505
8, 4, 2, 1, // 3506-3509
4, 2, 2, // 3510-3512
4, 2, 2, // 3513-3515
4, 2, 1, // 3516-3518
5, 1, 1, // 3519-3521
5, 2, // 3522-3523
6, 2, // 3524-3525
3, 1, 1, // 3526-3528
3, 1, 1, // 3529-3531
3, 1, 1, // 3532-3534
6, 3, 1, // 3535-3537
3, 1, 1, // 3538-3540
4, 1, // 3541-3542
4, 1, // 3543-3544
7, 3, 2, 1, // 3545-3548
6, 3, 2, 2, // 3549-3552
7, 2, 1, // 3553-3555
6, 2, 2, // 3556-3558
9, 2, 1, // 3559-3561
7, 2, 2, // 3562-3564
4, 1, 1, // 3565-3567
4, 1, 1, // 3568-3570
4, 1, 1, // 3571-3573
4, 1, 1, // 3574-3576
1, 1, 1, 1, 2, // 3577-3581
1, 1, 1, 1, 2, 2, // 3582-3587
1, 1, 1, 1, 2, 2, // 3588-3593
1, 1, 1, // 3594-3596
2, 1, 1, 1, 1, // 3597-3601
1, 1, 1, 1, 2, 2, // 3602-3607
1, 1, 1, 1, // 3608-3611
1, 1, 1, 1, // 3612-3615
1, 1, 1, 1, // 3616-3619
2, 1, 1, 1, 1, 1, // 3620-3625
2, 1, 1, 1, 1, 1, // 3626-3631
1, 1, 1, 1, 2, // 3632-3636
1, 1, 1, 1, 2, // 3637-3641
1, 1, 1, 1, 2, // 3642-3646
1, 1, 1, 1, 2, // 3647-3651
2, 1, 1, 1, 1, 1, 2, // 3652-3658
2, 1, 1, 1, 1, 1, 2, // 3659-3665
2, 1, 1, 1, 1, 1, 2, // 3666-3672
1, 1, 1, 1, 2, 2, // 3673-3678
1, 1, 1, 1, 2, 2, // 3679-3684
1, 1, 1, 1, 2, 2, // 3685-3690
1, 1, 1, 1, 2, 2, // 3691-3696
1, 1, 1, 1, 2, 2, // 3697-3702
2, 1, 1, 1, 1, 1, 2, 2, // 3703-3710
2, 1, 1, 1, 1, 1, 2, 2, // 3711-3718
2, 1, 1, 1, 1, 1, 2, 2, // 3719-3726
1, // 3727
1, 1, // 3728-3729
1, // 3730
1, 1, // 3731-3732
1, 1, // 3733-3734
2, 1, 1, // 3735-3737
1, 1, // 3738-3739
1, 1, // 3740-3741
1, 1, // 3742-3743
1, // 3744
1, 1, // 3745-3746
2, 1, 1, // 3747-3749
2, 1, 1, // 3750-3752
4, 1, 1, // 3753-3755
1, 1, 1, // 3756-3758
1, 1, 1, // 3759-3761
1, 1, 1, // 3762-3764
1, 1, 1, // 3765-3767
1, 1, 1, // 3768-3770
2, 1, // 3771-3772
2, 1, // 3773-3774
2, 1, // 3775-3776
2, 1, // 3777-3778
1, 1, // 3779-3780
3, 1, 1, // 3781-3783
3, 1, 1, 1, // 3784-3787
4, 1, 1, // 3788-3790
4, 1, 1, // 3791-3793
2, 1, 1, 1, // 3794-3797
4, 1, // 3798-3799
3, 1, // 3800-3801
3, 2, 1, // 3802-3804
4, 3, 1, // 3805-3807
4, 3, 1, // 3808-3810
3, 2, 1, 1, // 3811-3814
4, 3, 1, 1, // 3815-3818
4, 3, 1, 1, // 3819-3822
4, 3, 1, 1, // 3823-3826
4, 3, 1, // 3827-3829
4, 3, 1, // 3830-3832
3, 2, 1, // 3833-3835
3, 3, 1, 1, // 3836-3839
3, 3, 1, 1, // 3840-3843
3, 2, 1, 1, // 3844-3847
4, 3, 1, 1, // 3848-3851
4, 3, 1, 1, // 3852-3855
4, 3, 1, 1, // 3856-3859
4, 3, 1, // 3860-3862
4, 3, 1, 1, // 3863-3866
4, 3, 1, 1, // 3867-3870
3, 2, 1, 1, // 3871-3874
4, 3, 1, 1, // 3875-3878
1, 1, // 3879-3880
1, 1, // 3881-3882
1, 1, 1, // 3883-3885
1, 1, // 3886-3887
2, 1, 1, // 3888-3890
3, 1, 1, // 3891-3893
2, 1, 1, 1, // 3894-3897
3, 1, 1, 1, // 3898-3901
2, 1, 1, // 3902-3904
3, 1, 1, // 3905-3907
3, 1, 1, 1, // 3908-3911
2, 1, 1, 1, // 3912-3915
3, 1, 1, 1, // 3916-3919
3, 1, 1, 1, // 3920-3923
2, 1, 1, 1, // 3924-3927
3, 1, 1, 1, // 3928-3931
3, 1, 1, // 3932-3934
3, 1, 1, 1, // 3935-3938
4, 1, // 3939-3940
4, 1, // 3941-3942
4, 2, 1, // 3943-3945
1, 1, // 3946-3947
1, 1, // 3948-3949
1, 1, 1, 1, // 3950-3953
2, 1, 1, 1, // 3954-3957
4, 2, 2, // 3958-3960
4, 2, 2, // 3961-3963
3, // 3964
6, 2, 2, // 3965-3967
7, 2, 1, // 3968-3970
7, 2, 1, // 3971-3973
6, 2, 2, // 3974-3976
7, 2, 2, // 3977-3979
7, 3, 2, 1, // 3980-3983
6, 3, 2, 2, // 3984-3987
7, 3, 2, 1, // 3988-3991
6, 3, 2, 2, // 3992-3995
9, 3, 2, 1, // 3996-3999
7, 3, 2, 2, // 4000-4003
6, 2, 2, // 4004-4006
3, 1, 1, // 4007-4009
4, 1, 1, // 4010-4012
4, 1, 1, // 4013-4015
3, 1, 1, // 4016-4018
3, 1, 1, // 4019-4021
5, 1, 1, // 4022-4024
6, 3, 2, 1, // 4025-4028
8, 4, 2, 1, // 4029-4032
5, 2, // 4033-4034
6, 2, // 4035-4036
5, 2, 1, // 4037-4039
6, 2, 1, // 4040-4042
3, // 4043
2, 2, 1, 1, // 4044-4047
2, 2, 1, // 4048-4050
2, 2, 2, 1, 1, 1, // 4051-4056
5, 5, 6, 1, 1, 1, 1, 2, // 4057-4064
5, 5, 6, 2, 1, 1, 1, 1, 1, 2, // 4065-4074
5, 5, 6, 2, 1, 1, 1, 1, 1, 2, // 4075-4084
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 4085-4094
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 4095-4106
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 4107-4118
1, 2, 1, 1, 3, // 4119-4123
2, 1, 1, 1, 3, // 4124-4128
1, 1, 1, 1, 3, // 4129-4133
3, 1, // 4134-4135
2, 1, // 4136-4137
2, // 4138
1, 1, // 4139-4140
1, // 4141
4, 5, 1, 1, // 4142-4145
1, 1, // 4146-4147
3, 1, 1, // 4148-4150
2, 1, // 4151-4152
1, 1, 2, 2, 1, // 4153-4157
1, 1, 2, 1, // 4158-4161
2, 2, 1, // 4162-4164
3, 3, 4, 1, // 4165-4168
2, 2, 3, 3, 1, // 4169-4173
1, 1, 1, 1, 2, // 4174-4178
1, 1, 1, 1, 2, 2, // 4179-4184
3, // 4185
2, 2, // 4186-4187
2, 2, 2, // 4188-4190
2, 2, 1, 1, // 4191-4194
2, 2, 1, 1, // 4195-4198
1, 2, 1, 1, 3, // 4199-4203
3, 1, // 4204-4205
4, 1, // 4206-4207
6, 1, 1, 4, // 4208-4211
2, // 4212
1, // 4213
3, 1, // 4214-4215
2, 1, // 4216-4217
2, 1, 1, // 4218-4220
3, // 4221
5, // 4222
2, // 4223
1, 1, // 4224-4225
6, 1, 1, // 4226-4228
2, 2, 2, // 4229-4231
3, 1, 1, // 4232-4234
3, 1, 1, // 4235-4237
3, 1, 1, // 4238-4240
3, 1, 1, // 4241-4243
6, 6, 1, 1, // 4244-4247
6, 6, 1, 1, // 4248-4251
2, 3, 1, 1, // 4252-4255
3, // 4256
4, 1, // 4257-4258
7, 1, // 4259-4260
2, 2, 1, // 4261-4263
2, 1, // 4264-4265
2, 2, 1, // 4266-4268
2, 3, 1, 1, // 4269-4272
2, 2, 2, // 4273-4275
2, 2, // 4276-4277
2, 1, 1, 1, 3, // 4278-4282
1, 1, 3, // 4283-4285
2, 2, 1, 1, // 4286-4289
2, 2, // 4290-4291
2, 2, 2, // 4292-4294
2, 2, 1, 1, // 4295-4298
2, 2, 1, 1, // 4299-4302
2, 1, // 4303-4304
2, 2, // 4305-4306
2, // 4307
2, 2, // 4308-4309
2, 1, 1, // 4310-4312
2, 1, 1, // 4313-4315
20, // 4316
1, 1, 1, 1, 3, // 4317-4321
3, 2, 1, 1, // 4322-4325
3, 2, 1, // 4326-4328
4, 1, 1, // 4329-4331
3, 1, 1, // 4332-4334
3, 2, 1, 1, // 4335-4338
3, 2, 1, 1, // 4339-4342
3, 2, 1, // 4343-4345
4, 1, 1, // 4346-4348
1, 1, // 4349-4350
1, 1, 1, // 4351-4353
1, // 4354
1, 1, // 4355-4356
1, 1, 1, // 4357-4359
2, 2, 1, // 4360-4362
2, 2, // 4363-4364
6, 1, 1, 4, // 4365-4368
5, 1, 1, // 4369-4371
2, // 4372
2, 3, 1, 1, // 4373-4376
2, 3, 1, // 4377-4379
3, 1, 1, // 4380-4382
3, 1, 1, // 4383-4385
2, 3, 1, 1, // 4386-4389
2, 3, 1, // 4390-4392
3, 1, 1, // 4393-4395
2, 2, 1, // 4396-4398
1, 1, // 4399-4400
2, // 4401
2, 2, // 4402-4403
2, 1, 1, // 4404-4406
2, 1, 1, // 4407-4409
6, 6, 1, 1, // 4410-4413
6, 3, 2, 1, // 4414-4417
6, 3, 2, 1, // 4418-4421
4, 2, 1, // 4422-4424
5, 2, 2, // 4425-4427
6, 2, 2, // 4428-4430
4, 2, 1, // 4431-4433
5, 2, // 4434-4435
6, 2, // 4436-4437
4, 2, // 4438-4439
4, 2, // 4440-4441
9, 1, 1, // 4442-4444
4, 2, 1, // 4445-4447
3, 1, 1, // 4448-4450
7, 1, 1, // 4451-4453
3, 2, 1, // 4454-4456
3, 2, 2, // 4457-4459
3, 2, 2, // 4460-4462
3, 2, 2, // 4463-4465
4, 2, 2, // 4466-4468
9, 3, 2, 2, // 4469-4472
10, 3, 2, 2, // 4473-4476
4, 1, // 4477-4478
1, 1, // 4479-4480
5, 1, // 4481-4482
7, 1, // 4483-4484
29, 1, 1, // 4485-4487
20, 1, 1, // 4488-4490
2, 1, // 4491-4492
2, 1, // 4493-4494
3, 1, // 4495-4496
2, 1, 1, // 4497-4499
3, 1, 1, // 4500-4502
19, 2, 1, 1, // 4503-4506
7, 2, 1, 1, // 4507-4510
9, 3, 2, 2, // 4511-4514
10, 3, 2, 2, // 4515-4518
20, 1, // 4519-4520
4, 2, 1, // 4521-4523
8, 1, // 4524-4525
2, 1, // 4526-4527
2, 2, 1, 1, // 4528-4531
2, 1, // 4532-4533
2, 2, 1, 1, // 4534-4537
3, 1, 1, 1, // 4538-4541
3, 2, 1, 1, 1, 1, // 4542-4547
3, 1, 1, 1, // 4548-4551
2, 1, // 4552-4553
2, 2, 3, 3, 1, // 4554-4558
2, 2, 2, 1, // 4559-4562
2, 2, 3, 1, // 4563-4566
2, 2, 2, 1, // 4567-4570
2, 2, 1, // 4571-4573
2, 2, 1, // 4574-4576
2, 2, 2, 1, // 4577-4580
2, 2, 1, // 4581-4583
2, 2, 2, 1, 1, // 4584-4588
2, 2, 1, // 4589-4591
3, 3, 1, 1, 1, 1, // 4592-4597
3, 3, 2, 1, 1, 1, 1, 1, // 4598-4605
3, 3, 2, 1, 1, 1, 1, 1, // 4606-4613
2, 2, 1, // 4614-4616
2, 2, 2, 1, 1, 1, // 4617-4622
2, 2, 3, 3, 1, // 4623-4627
2, 2, 3, 3, 2, 1, // 4628-4633
2, 2, 3, 1, // 4634-4637
2, 2, 3, 2, 1, 1, // 4638-4643
4, 4, 5, 1, 1, 1, 1, 2, // 4644-4651
4, 4, 5, 2, 1, 1, 1, 1, 1, 2, // 4652-4661
3, 3, 4, 1, // 4662-4665
3, 3, 4, 2, 1, // 4666-4670
2, 2, 3, 3, 1, // 4671-4675
2, 2, 3, 3, 1, // 4676-4680
2, 2, 3, 3, 2, 1, 1, // 4681-4687
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 4688-4697
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 4698-4709
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 4710-4721
3, 3, 4, 4, 1, // 4722-4726
3, 3, 4, 4, 2, 1, // 4727-4732
2, 1, 1, 1, 2, // 4733-4737
1, 1, 1, 2, // 4738-4741
2, 1, // 4742-4743
2, 1, // 4744-4745
19, 2, 1, 1, // 4746-4749
7, 3, 2, 1, // 4750-4753
6, 3, 2, 2, // 4754-4757
7, 2, 1, 1, // 4758-4761
9, 3, 2, 1, // 4762-4765
7, 3, 2, 2, // 4766-4769
2, 1, 1, // 4770-4772
2, 1, // 4773-4774
4, 1, // 4775-4776
2, 1, // 4777-4778
20, 1, // 4779-4780
20, 20, 1, // 4781-4783
11, 1, 1, // 4784-4786
6, 2, 2, // 4787-4789
7, 2, 1, // 4790-4792
7, 1, 1, // 4793-4795
5, 2, 1, // 4796-4798
6, 2, 1, // 4799-4801
7, 2, 2, // 4802-4804
9, 2, 1, // 4805-4807
4, 1, 1, // 4808-4810
7, 3, 1, // 4811-4813
6, 3, 1, // 4814-4816
5, 2, 2, // 4817-4819
4, 1, // 4820-4821
5, 1, 1, // 4822-4824
4, 1, 1, // 4825-4827
9, 2, 2, // 4828-4830
10, 2, 2, // 4831-4833
3, 1, 1, // 4834-4836
8, 1, // 4837-4838
7, 1, // 4839-4840
29, 1, // 4841-4842
19, 1, // 4843-4844
1, 1, 1, // 4845-4847
2, 1, 1, 1, 1, // 4848-4852
1, 1, 1, // 4853-4855
1, 1, 1, 1, 2, 2, // 4856-4861
2, 2, 3, 3, 2, 1, // 4862-4867
1, 1, 1, 1, 2, // 4868-4872
2, 2, 3, 2, 1, // 4873-4877
2, 2, 1, // 4878-4880
2, 1, 1, 1, 1, 1, 2, 2, // 4881-4888
2, 1, 1, 1, 1, 1, 2, // 4889-4895
1, 1, 1, 1, // 4896-4899
2, 2, 2, 1, // 4900-4903
1, 1, 1, 1, // 4904-4907
2, 1, 1, 1, 1, 1, // 4908-4913
2, 1, 1, 1, 1, 1, // 4914-4919
1, 1, 1, 1, // 4920-4923
2, 2, 1, // 4924-4926
1, 1, 1, 1, // 4927-4930
1, 1, 1, 1, 2, 2, // 4931-4936
2, 1, 1, 1, 1, 1, 2, 2, // 4937-4944
2, 2, 1, // 4945-4947
1, 1, 1, 1, 2, // 4948-4952
2, 1, 1, 1, 1, 1, 2, // 4953-4959
2, 1, 1, 1, 1, 1, 2, // 4960-4966
1, 1, 1, 1, 2, // 4967-4971
1, 1, 1, 1, 2, // 4972-4976
2, 1, 1, 1, 1, 1, 2, // 4977-4983
1, 1, 1, 1, 2, 2, // 4984-4989
2, 1, 1, 1, 1, 1, 2, 2, // 4990-4997
2, 1, 1, 1, 1, 1, 2, 2, // 4998-5005
1, 1, 1, 1, 2, 2, // 5006-5011
2, 1, 1, 1, 1, 1, 2, 2, // 5012-5019
2, 1, 1, 1, 1, // 5020-5024
1, 1, 1, 1, // 5025-5028
1, 1, // 5029-5030
1, 1, // 5031-5032
3, 2, 1, // 5033-5035
3, 2, 1, // 5036-5038
3, 2, 2, 1, // 5039-5042
4, 2, 2, 3, 1, // 5043-5047
4, 2, 2, 3, 3, 1, // 5048-5053
3, 1, 2, 1, // 5054-5057
3, 1, 2, 2, 1, // 5058-5062
4, 1, 2, 2, 3, 1, // 5063-5068
4, 1, 2, 2, 3, 3, 1, // 5069-5075
8, 1, // 5076-5077
7, 1, // 5078-5079
2, 2, 1, 1, // 5080-5083
3, 3, 1, 1, // 5084-5087
4, 4, 1, 1, // 5088-5091
2, 1, // 5092-5093
2, 2, // 5094-5095
2, 1, // 5096-5097
2, // 5098
2, 2, // 5099-5100
2, 1, // 5101-5102
3, 1, // 5103-5104
3, 2, 1, // 5105-5107
4, 1, 1, // 5108-5110
3, 2, 1, 1, // 5111-5114
3, 1, // 5115-5116
3, 1, // 5117-5118
3, 2, 1, // 5119-5121
4, 1, 1, // 5122-5124
1, 1, // 5125-5126
2, 1, 2, // 5127-5129
5, 1, 1, // 5130-5132
6, 1, 1, 4, // 5133-5136
3, 1, // 5137-5138
2, 3, 1, // 5139-5141
3, 1, 1, // 5142-5144
2, 3, 1, 1, // 5145-5148
3, 1, 1, // 5149-5151
2, 3, 1, // 5152-5154
3, 1, // 5155-5156
3, 1, 1, // 5157-5159
2, 2, 1, 1, // 5160-5163
1, 1, // 5164-5165
2, // 5166
2, 2, // 5167-5168
2, 1, // 5169-5170
2, 2, 2, // 5171-5173
3, 1, 1, // 5174-5176
3, 1, 1, // 5177-5179
1, 1, 3, // 5180-5182
3, 1, 1, // 5183-5185
3, 1, 1, // 5186-5188
2, 2, // 5189-5190
2, 2, // 5191-5192
2, 2, 2, // 5193-5195
2, 2, 1, 1, // 5196-5199
2, 2, 1, 1, // 5200-5203
1, 1, // 5204-5205
1, 1, 1, // 5206-5208
1, 1, 1, // 5209-5211
2, 1, 1, // 5212-5214
1, 1, // 5215-5216
3, 1, // 5217-5218
2, // 5219
3, // 5220
5, // 5221
2, 1, // 5222-5223
6, 1, 1, // 5224-5226
6, 1, 1, 4, // 5227-5230
6, 6, 1, 1, // 5231-5234
6, 6, 1, 1, // 5235-5238
6, 6, 1, 1, // 5239-5242
5, 1, 1, // 5243-5245
6, 1, 1, 4, // 5246-5249
3, 1, 1, // 5250-5252
4, 1, 1, // 5253-5255
3, 1, 1, // 5256-5258
3, 2, 1, 1, // 5259-5262
1, 1, // 5263-5264
1, 1, // 5265-5266
3, 1, // 5267-5268
2, // 5269
3, // 5270
1, // 5271
2, 1, // 5272-5273
2, 2, // 5274-5275
2, 2, 2, // 5276-5278
2, 2, 2, // 5279-5281
2, 2, 2, // 5282-5284
2, 2, 1, // 5285-5287
2, 2, 1, 1, // 5288-5291
6, 1, 1, // 5292-5294
5, 1, 1, // 5295-5297
6, 1, 1, 4, // 5298-5301
6, 1, 1, 4, // 5302-5305
6, 1, 1, 4, // 5306-5309
5, 1, 1, // 5310-5312
6, 1, 1, 4, // 5313-5316
6, 1, 1, 4, // 5317-5320
6, 6, 1, 1, // 5321-5324
6, 6, 1, 1, // 5325-5328
6, 6, 1, 1, // 5329-5332
3, 1, 1, // 5333-5335
3, 1, 1, // 5336-5338
4, 1, 1, // 5339-5341
4, 1, // 5342-5343
3, 1, // 5344-5345
4, 1, 1, // 5346-5348
3, 1, // 5349-5350
4, 1, 1, // 5351-5353
3, 1, 1, // 5354-5356
3, 1, 1, // 5357-5359
3, 1, 1, // 5360-5362
3, 1, 1, // 5363-5365
3, 1, // 5366-5367
4, 1, 1, // 5368-5370
3, 1, 1, // 5371-5373
3, 2, 1, 1, // 5374-5377
3, 2, 1, // 5378-5380
3, 2, 1, 1, // 5381-5384
3, 2, 1, // 5385-5387
3, 2, 1, 1, // 5388-5391
3, 2, 1, // 5392-5394
3, 2, 1, // 5395-5397
3, 1, // 5398-5399
3, 1, // 5400-5401
3, 2, 1, // 5402-5404
3, 1, // 5405-5406
3, 1, // 5407-5408
3, 1, 1, // 5409-5411
3, 2, 1, 1, // 5412-5415
3, 2, 1, 1, // 5416-5419
1, 1, 1, 1, 3, // 5420-5424
2, 1, 1, 1, 3, // 5425-5429
1, 2, 1, 1, 3, // 5430-5434
1, 1, 3, // 5435-5437
1, 1, 3, // 5438-5440
3, 1, 1, // 5441-5443
3, 1, 1, // 5444-5446
3, 1, 1, // 5447-5449
3, 1, 1, // 5450-5452
3, 1, // 5453-5454
3, 1, 1, // 5455-5457
3, 1, // 5458-5459
3, 1, 1, // 5460-5462
3, 1, 1, // 5463-5465
3, 1, 1, // 5466-5468
2, 3, 1, 1, // 5469-5472
2, 3, 1, // 5473-5475
2, 3, 1, 1, // 5476-5479
2, 3, 1, // 5480-5482
2, 3, 1, // 5483-5485
2, 3, 1, // 5486-5488
2, 3, 1, 1, // 5489-5492
3, 1, // 5493-5494
3, 1, // 5495-5496
3, 1, 1, // 5497-5499
3, 1, 1, // 5500-5502
2, 3, 1, 1, // 5503-5506
2, 3, 1, 1, // 5507-5510
2, // 5511
3, 1, // 5512-5513
4, 1, // 5514-5515
3, 2, 2, // 5516-5518
3, 2, 2, // 5519-5521
3, 2, 1, // 5522-5524
3, 2, 1, // 5525-5527
6, 2, // 5528-5529
5, 2, // 5530-5531
3, 1, 1, // 5532-5534
4, 1, 1, // 5535-5537
4, 2, 1, // 5538-5540
4, 2, 1, // 5541-5543
4, 2, 1, // 5544-5546
4, 2, 1, // 5547-5549
3, // 5550
4, 1, 1, // 5551-5553
5, 1, 1, // 5554-5556
3, 2, 2, // 5557-5559
4, 2, 2, // 5560-5562
2, 1, 1, // 5563-5565
3, 1, 1, // 5566-5568
2, 1, // 5569-5570
3, 1, // 5571-5572
6, 3, 2, 1, // 5573-5576
6, 3, 2, 1, // 5577-5580
7, 3, 1, // 5581-5583
6, 3, 1, // 5584-5586
5, 2, 2, // 5587-5589
6, 2, 2, // 5590-5592
4, 2, 1, // 5593-5595
4, 2, 1, // 5596-5598
5, 1, 1, // 5599-5601
4, 1, 1, // 5602-5604
5, 2, // 5605-5606
6, 2, // 5607-5608
4, 2, // 5609-5610
4, 2, // 5611-5612
4, 1, // 5613-5614
4, 1, // 5615-5616
4, 2, 1, // 5617-5619
4, 2, 1, // 5620-5622
5, 2, // 5623-5624
6, 2, // 5625-5626
4, 2, 1, // 5627-5629
3, 1, 1, // 5630-5632
4, 2, 1, // 5633-5635
4, 1, 1, // 5636-5638
3, 2, 1, // 5639-5641
3, 1, 2, 1, // 5642-5645
3, 2, 2, 1, // 5646-5649
3, 1, 2, 2, 1, // 5650-5654
4, 2, 2, 3, 1, // 5655-5659
4, 1, 2, 2, 3, 1, // 5660-5665
4, 2, 2, 3, 3, 1, // 5666-5671
4, 1, 2, 2, 3, 3, 1, // 5672-5678
2, 2, 1, 1, // 5679-5682
3, 3, 1, 1, // 5683-5686
4, 4, 1, 1, // 5687-5690
4, 1, // 5691-5692
7, 1, // 5693-5694
4, 1, // 5695-5696
1, 1, // 5697-5698
7, 1, 1, // 5699-5701
5, 2, 2, // 5702-5704
6, 2, 2, // 5705-5707
4, 2, 1, // 5708-5710
4, 2, 1, // 5711-5713
5, 2, 2, // 5714-5716
9, 1, 1, // 5717-5719
9, 2, 2, // 5720-5722
10, 2, 2, // 5723-5725
7, 1, 1, // 5726-5728
5, 2, 1, // 5729-5731
6, 2, 1, // 5732-5734
6, 2, 2, // 5735-5737
7, 2, 2, // 5738-5740
5, 2, 2, // 5741-5743
6, 2, 2, // 5744-5746
7, 2, 1, // 5747-5749
9, 2, 1, // 5750-5752
19, 2, 1, 1, // 5753-5756
7, 3, 2, 1, // 5757-5760
6, 3, 2, 2, // 5761-5764
7, 2, 1, 1, // 5765-5768
9, 3, 2, 2, // 5769-5772
10, 3, 2, 2, // 5773-5776
9, 3, 2, 1, // 5777-5780
7, 3, 2, 2, // 5781-5784
19, 2, 1, 1, // 5785-5788
7, 2, 1, 1, // 5789-5792
9, 3, 2, 2, // 5793-5796
10, 3, 2, 2, // 5797-5800
5, 1, // 5801-5802
7, 1, // 5803-5804
6, 2, // 5805-5806
5, 2, // 5807-5808
8, 1, // 5809-5810
7, 1, // 5811-5812
8, 1, // 5813-5814
7, 1, // 5815-5816
3, // 5817
4, 1, // 5818-5819
7, 1, // 5820-5821
3, 2, 1, // 5822-5824
2, 1, // 5825-5826
4, 1, // 5827-5828
4, 1, // 5829-5830
2, 1, // 5831-5832
3, 1, // 5833-5834
2, 1, // 5835-5836
20, 1, // 5837-5838
2, 1, // 5839-5840
3, 1, 1, // 5841-5843
20, 20, 1, // 5844-5846
2, 1, 1, // 5847-5849
2, 1, 1, // 5850-5852
20, 1, // 5853-5854
20, 1, // 5855-5856
20, // 5857
20, // 5858
20, // 5859
2, 1, // 5860-5861
2, 1, // 5862-5863
1, 1, // 5864-5865
1, 1, // 5866-5867
1, 1, 1, 2, // 5868-5871
1, 1, 1, 1, // 5872-5875
1, 1, 1, 2, // 5876-5879
2, 1, 1, 1, 2, // 5880-5884
1, 1, 1, 1, // 5885-5888
2, 1, 1, 1, 1, // 5889-5893
2, 1, // 5894-5895
2, 2, 1, // 5896-5898
2, 2, 1, // 5899-5901
2, 2, 2, 1, // 5902-5905
2, 2, 3, 1, // 5906-5909
2, 2, 2, 1, // 5910-5913
2, 2, 3, 3, 1, // 5914-5918
2, 2, 2, 1, // 5919-5922
2, 2, 1, // 5923-5925
2, 2, 3, 3, 1, // 5926-5930
2, 2, 2, 1, 1, 1, // 5931-5936
2, 2, 3, 3, 2, 1, // 5937-5942
3, 3, 4, 1, // 5943-5946
3, 3, 4, 1, // 5947-5950
3, 3, 4, 2, 1, // 5951-5955
3, 3, 4, 2, 1, // 5956-5960
3, 3, 4, 4, 1, // 5961-5965
3, 3, 4, 4, 1, // 5966-5970
3, 3, 4, 4, 2, 1, // 5971-5976
3, 3, 4, 4, 2, 1, // 5977-5982
2, 1, // 5983-5984
2, 1, // 5985-5986
3, 1, 1, 1, // 5987-5990
3, 1, 1, 1, // 5991-5994
2, 2, 1, 1, // 5995-5998
2, 2, 1, 1, // 5999-6002
3, 2, 1, 1, 1, 1, // 6003-6008
2, 2, 1, // 6009-6011
3, 3, 1, 1, 1, 1, // 6012-6017
3, 3, 2, 1, 1, 1, 1, 1, // 6018-6025
2, 2, 2, 1, 1, // 6026-6030
3, 3, 2, 1, 1, 1, 1, 1, // 6031-6038
2, 2, 3, 1, // 6039-6042
4, 4, 5, 1, 1, 1, 1, 2, // 6043-6050
2, 2, 3, 2, 1, 1, // 6051-6056
4, 4, 5, 2, 1, 1, 1, 1, 1, 2, // 6057-6066
2, 2, 3, 2, 1, 1, // 6067-6072
4, 4, 5, 2, 1, 1, 1, 1, 1, 2, // 6073-6082
2, 2, 3, 3, 1, // 6083-6087
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 6088-6097
2, 2, 3, 3, 1, // 6098-6102
2, 2, 3, 3, 2, 1, 1, // 6103-6109
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 6110-6121
2, 2, 3, 3, 2, 1, 1, // 6122-6128
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 6129-6140
1, 1, 1, // 6141-6143
1, 1, 1, 1, // 6144-6147
2, 2, 1, // 6148-6150
2, 2, 2, 1, // 6151-6154
1, 1, 1, 1, 2, // 6155-6159
2, 2, 3, 2, 1, // 6160-6164
2, 1, 1, 1, 1, 1, 2, // 6165-6171
1, 1, 1, 1, 2, 2, // 6172-6177
2, 2, 3, 3, 2, 1, // 6178-6183
2, 1, 1, 1, 1, 1, 2, 2, // 6184-6191
1, 1, 1, 1, // 6192-6195
1, 1, 1, 1, // 6196-6199
2, 2, 1, // 6200-6202
1, 1, 1, 1, 2, 2, // 6203-6208
2, 2, 1, // 6209-6211
2, 1, 1, 1, 1, 1, 2, 2, // 6212-6219
1, 1, 1, 1, 2, // 6220-6224
2, 1, 1, 1, 1, 1, 2, // 6225-6231
1, 1, 1, 1, 2, 2, // 6232-6237
2, 1, 1, 1, 1, 1, 2, 2, // 6238-6245
1, 1, 1, // 6246-6248
2, 1, 1, 1, 1, // 6249-6253
1, 1, 1, 1, // 6254-6257
2, 1, 1, 1, 1, 1, // 6258-6263
2, 1, 1, 1, 1, 1, // 6264-6269
1, 1, 1, 1, 2, // 6270-6274
1, 1, 1, 1, 2, // 6275-6279
2, 1, 1, 1, 1, 1, 2, // 6280-6286
2, 1, 1, 1, 1, 1, 2, // 6287-6293
1, 1, 1, 1, 2, 2, // 6294-6299
2, 1, 1, 1, 1, 1, 2, 2, // 6300-6307
2, 1, 1, 1, 1, 1, 2, 2, // 6308-6315
20, 1, 1, // 6316-6318
19, 1, // 6319-6320
29, 1, 1, // 6321-6323
29, 1, // 6324-6325
2, // 6326
1, // 6327
1, 1, 1, // 6328-6330
1, 1, // 6331-6332
1, // 6333
2, 2, // 6334-6335
2, 2, // 6336-6337
2, 2, // 6338-6339
2, // 6340
1, // 6341
1, 1, // 6342-6343
1, 1, // 6344-6345
2, 2, 2, // 6346-6348
2, 2, 2, // 6349-6351
2, 2, 1, 1, // 6352-6355
2, 2, 1, // 6356-6358
2, 2, 1, // 6359-6361
2, 2, 1, // 6362-6364
2, 1, 2, // 6365-6367
2, 2, 1, 1, // 6368-6371
2, 2, 1, 1, // 6372-6375
2, 2, // 6376-6377
1, // 6378
1, 1, 1, // 6379-6381
2, // 6382
2, 2, // 6383-6384
2, 1, 1, // 6385-6387
2, 1, 1, // 6388-6390
2, 2, // 6391-6392
1, 1, // 6393-6394
2, // 6395
2, 2, // 6396-6397
2, 1, 1, // 6398-6400
2, 1, 1, // 6401-6403
5, 2, 2, // 6404-6406
6, 2, 2, // 6407-6409
4, 1, // 6410-6411
7, 1, // 6412-6413
5, 2, // 6414-6415
6, 2, // 6416-6417
5, 2, 2, // 6418-6420
6, 2, 2, // 6421-6423
5, 2, 2, // 6424-6426
5, 2, 2, // 6427-6429
6, 2, 2, // 6430-6432
6, 2, 2, // 6433-6435
20, // 6436
20, // 6437
6, 3, 2, 1, // 6438-6441
4, 2, 1, // 6442-6444
4, 2, 1, // 6445-6447
4, 2, 1, // 6448-6450
3, 2, 2, // 6451-6453
3, 2, 1, // 6454-6456
3, 2, 2, // 6457-6459
3, 1, 1, // 6460-6462
3, 2, 2, // 6463-6465
3, 2, 2, // 6466-6468
3, // 6469
3, // 6470
3, 2, 2, // 6471-6473
3, 2, 2, // 6474-6476
3, 2, 2, // 6477-6479
4, 2, 2, // 6480-6482
4, 2, 1, // 6483-6485
4, 2, 1, // 6486-6488
4, 2, 2, // 6489-6491
3, 2, 2, // 6492-6494
2, 1, // 6495-6496
9, 3, 2, 2, // 6497-6500
10, 3, 2, 2, // 6501-6504
4, 2, 1, // 6505-6507
4, 2, 1, // 6508-6510
4, 2, 1, // 6511-6513
5, 2, 2, // 6514-6516
5, 2, // 6517-6518
6, 2, // 6519-6520
3, 1, 1, // 6521-6523
4, 1, 1, // 6524-6526
3, 1, 1, // 6527-6529
6, 3, 1, // 6530-6532
3, 1, 1, // 6533-6535
4, 1, // 6536-6537
4, 1, // 6538-6539
7, 3, 2, 1, // 6540-6543
6, 3, 2, 2, // 6544-6547
7, 2, 1, // 6548-6550
6, 2, 2, // 6551-6553
9, 2, 1, // 6554-6556
7, 2, 2, // 6557-6559
4, 1, 1, // 6560-6562
5, 1, 1, // 6563-6565
4, 1, 1, // 6566-6568
4, 1, 1, // 6569-6571
1, 1, 1, 1, 2, // 6572-6576
1, 1, 1, 1, 2, 2, // 6577-6582
1, 1, 1, 1, 2, 2, // 6583-6588
1, 1, 1, // 6589-6591
2, 1, 1, 1, 1, // 6592-6596
1, 1, 1, 1, 2, 2, // 6597-6602
1, 1, 1, 1, // 6603-6606
1, 1, 1, 1, // 6607-6610
1, 1, 1, 1, // 6611-6614
2, 1, 1, 1, 1, 1, // 6615-6620
2, 1, 1, 1, 1, 1, // 6621-6626
1, 1, 1, 1, 2, // 6627-6631
1, 1, 1, 1, 2, // 6632-6636
1, 1, 1, 1, 2, // 6637-6641
1, 1, 1, 1, 2, // 6642-6646
2, 1, 1, 1, 1, 1, 2, // 6647-6653
2, 1, 1, 1, 1, 1, 2, // 6654-6660
2, 1, 1, 1, 1, 1, 2, // 6661-6667
1, 1, 1, 1, 2, 2, // 6668-6673
1, 1, 1, 1, 2, 2, // 6674-6679
1, 1, 1, 1, 2, 2, // 6680-6685
1, 1, 1, 1, 2, 2, // 6686-6691
1, 1, 1, 1, 2, 2, // 6692-6697
2, 1, 1, 1, 1, 1, 2, 2, // 6698-6705
2, 1, 1, 1, 1, 1, 2, 2, // 6706-6713
2, 1, 1, 1, 1, 1, 2, 2, // 6714-6721
1, // 6722
1, 1, // 6723-6724
2, // 6725
2, 1, // 6726-6727
1, 1, // 6728-6729
2, 1, 1, // 6730-6732
1, 1, // 6733-6734
2, 1, // 6735-6736
2, 1, // 6737-6738
1, // 6739
1, 1, // 6740-6741
1, 1, 1, // 6742-6744
1, 1, 1, // 6745-6747
6, 1, 1, // 6748-6750
2, 2, 2, // 6751-6753
2, 2, 2, // 6754-6756
2, 2, 2, // 6757-6759
2, 2, 2, // 6760-6762
2, 2, 2, // 6763-6765
2, 1, // 6766-6767
2, 1, // 6768-6769
1, 1, // 6770-6771
1, 1, // 6772-6773
2, 2, // 6774-6775
2, 2, 1, // 6776-6778
2, 2, 1, 1, // 6779-6782
3, 1, 1, // 6783-6785
3, 1, 1, // 6786-6788
2, 3, 1, 1, // 6789-6792
3, 1, // 6793-6794
3, 1, // 6795-6796
3, 2, 1, // 6797-6799
3, 2, 1, // 6800-6802
3, 2, 1, // 6803-6805
3, 2, 1, 1, // 6806-6809
3, 2, 1, 1, // 6810-6813
3, 2, 1, 1, // 6814-6817
3, 2, 1, 1, // 6818-6821
3, 2, 1, // 6822-6824
3, 2, 1, // 6825-6827
3, 2, 1, // 6828-6830
3, 2, 1, 1, // 6831-6834
3, 2, 1, 1, // 6835-6838
3, 2, 1, 1, // 6839-6842
3, 2, 1, 1, // 6843-6846
3, 2, 1, 1, // 6847-6850
3, 2, 1, 1, // 6851-6854
3, 2, 1, // 6855-6857
3, 2, 1, 1, // 6858-6861
3, 2, 1, 1, // 6862-6865
3, 2, 1, 1, // 6866-6869
3, 2, 1, 1, // 6870-6873
2, 2, // 6874-6875
2, 2, // 6876-6877
3, 1, 1, // 6878-6880
3, 1, // 6881-6882
2, 3, 1, // 6883-6885
2, 3, 1, // 6886-6888
2, 3, 1, 1, // 6889-6892
2, 3, 1, 1, // 6893-6896
2, 3, 1, // 6897-6899
2, 3, 1, // 6900-6902
2, 3, 1, 1, // 6903-6906
2, 3, 1, 1, // 6907-6910
2, 3, 1, 1, // 6911-6914
2, 3, 1, 1, // 6915-6918
2, 3, 1, 1, // 6919-6922
2, 3, 1, 1, // 6923-6926
2, 3, 1, // 6927-6929
2, 3, 1, 1, // 6930-6933
7, 1, // 6934-6935
8, 1, // 6936-6937
4, 2, 1, // 6938-6940
4, 1, // 6941-6942
7, 1, // 6943-6944
1, 1, 1, 1, // 6945-6948
2, 1, 1, 1, 1, // 6949-6953
4, 2, 1, // 6954-6956
4, 2, 1, // 6957-6959
3, // 6960
6, 2, 2, // 6961-6963
7, 2, 1, // 6964-6966
7, 2, 1, // 6967-6969
6, 2, 2, // 6970-6972
7, 2, 2, // 6973-6975
7, 3, 2, 1, // 6976-6979
6, 3, 2, 2, // 6980-6983
7, 3, 2, 1, // 6984-6987
6, 3, 2, 2, // 6988-6991
9, 3, 2, 1, // 6992-6995
7, 3, 2, 2, // 6996-6999
6, 2, 2, // 7000-7002
3, 1, 1, // 7003-7005
4, 1, 1, // 7006-7008
4, 1, 1, // 7009-7011
3, 1, 1, // 7012-7014
4, 1, 1, // 7015-7017
5, 2, 2, // 7018-7020
9, 3, 2, 2, // 7021-7024
10, 3, 2, 2, // 7025-7028
5, 2, // 7029-7030
6, 2, // 7031-7032
5, 2, 1, // 7033-7035
6, 2, 1, // 7036-7038
3, // 7039
2, 2, 1, 1, // 7040-7043
2, 2, 1, // 7044-7046
2, 2, 2, 1, 1, 1, // 7047-7052
4, 4, 5, 1, 1, 1, 1, 2, // 7053-7060
4, 4, 5, 2, 1, 1, 1, 1, 1, 2, // 7061-7070
4, 4, 5, 2, 1, 1, 1, 1, 1, 2, // 7071-7080
4, 4, 5, 5, 1, 1, 1, 1, 2, 2, // 7081-7090
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 7091-7102
4, 4, 5, 5, 2, 1, 1, 1, 1, 1, 2, 2, // 7103-7114
1, 2, 1, 1, 3, // 7115-7119
2, 1, 1, 1, 3, // 7120-7124
1, 1, 1, 1, 3, // 7125-7129
3, 1, // 7130-7131
4, 1, // 7132-7133
2, // 7134
1, 1, // 7135-7136
1, // 7137
6, 6, 1, 1, // 7138-7141
2, 2, // 7142-7143
3, 1, 1, // 7144-7146
20, 1, // 7147-7148
2, 2, 3, 3, 1, // 7149-7153
2, 2, 3, 1, // 7154-7157
2, 2, 1, // 7158-7160
2, 2, 3, 1, // 7161-7164
2, 2, 3, 3, 1, // 7165-7169
1, 1, 1, 1, 2, // 7170-7174
1, 1, 1, 1, 2, 2, // 7175-7180
3, // 7181
0 // End operand cycles
};
extern const unsigned ARMForwardingPaths[] = {
0, // No itinerary
0, 0, // 1-2
0, 0, 0, // 3-5
0, 0, 0, 0, // 6-9
0, 0, 0, 0, // 10-13
0, 0, 0, 0, 0, // 14-18
0, 0, // 19-20
0, 0, // 21-22
0, 0, 0, 0, // 23-26
0, // 27
0, // 28
0, // 29
0, 0, // 30-31
0, 0, 0, // 32-34
0, // 35
0, // 36
0, // 37
0, 0, // 38-39
0, 0, 0, // 40-42
0, 0, 0, // 43-45
0, 0, 0, // 46-48
0, 0, 0, // 49-51
0, 0, 0, // 52-54
0, 0, 0, // 55-57
0, 0, 0, 0, // 58-61
0, 0, 0, // 62-64
0, 0, 0, 0, // 65-68
0, 0, // 69-70
0, 0, // 71-72
0, 0, 0, // 73-75
0, 0, // 76-77
0, 0, 0, // 78-80
0, 0, 0, 0, // 81-84
0, 0, 0, // 85-87
0, 0, // 88-89
0, 0, 0, 0, 0, // 90-94
0, 0, 0, // 95-97
0, 0, 0, 0, // 98-101
0, 0, // 102-103
0, 0, 0, // 104-106
0, 0, 0, 0, // 107-110
0, 0, 0, 0, // 111-114
0, 0, // 115-116
0, 0, // 117-118
0, // 119
0, 0, // 120-121
0, 0, 0, // 122-124
0, 0, 0, // 125-127
0, // 128
0, 0, 0, 0, 0, // 129-133
0, 0, 0, 0, // 134-137
0, 0, 0, // 138-140
0, 0, 0, // 141-143
0, 0, 0, // 144-146
0, 0, 0, 0, // 147-150
0, 0, 0, 0, // 151-154
0, 0, 0, // 155-157
0, 0, 0, // 158-160
0, 0, // 161-162
0, 0, 0, // 163-165
0, // 166
0, 0, // 167-168
0, 0, 0, // 169-171
0, 0, 0, // 172-174
0, 0, 0, 0, // 175-178
0, 0, 0, // 179-181
0, // 182
0, 0, 0, 0, // 183-186
0, 0, 0, // 187-189
0, 0, 0, // 190-192
0, 0, 0, // 193-195
0, 0, 0, 0, // 196-199
0, 0, 0, // 200-202
0, 0, 0, // 203-205
0, 0, 0, // 206-208
0, 0, // 209-210
0, // 211
0, 0, // 212-213
0, 0, 0, // 214-216
0, 0, 0, // 217-219
0, 0, 0, // 220-222
0, 0, 0, // 223-225
0, 0, 0, // 226-228
0, 0, // 229-230
0, 0, // 231-232
0, 0, // 233-234
0, 0, // 235-236
0, 0, 0, // 237-239
0, 0, 0, // 240-242
0, 0, 0, 0, // 243-246
0, 0, 0, 0, // 247-250
0, 0, // 251-252
0, 0, 0, 0, 0, // 253-257
0, 0, 0, 0, // 258-261
0, 0, 0, // 262-264
0, 0, 0, // 265-267
0, 0, 0, 0, // 268-271
0, 0, 0, 0, // 272-275
0, 0, 0, // 276-278
0, 0, // 279-280
0, 0, // 281-282
0, 0, 0, // 283-285
0, 0, 0, // 286-288
0, 0, 0, // 289-291
0, 0, // 292-293
0, 0, // 294-295
0, 0, 0, // 296-298
0, 0, 0, // 299-301
0, 0, 0, 0, 0, // 302-306
0, 0, 0, 0, // 307-310
0, 0, 0, // 311-313
0, 0, 0, // 314-316
0, 0, // 317-318
0, 0, // 319-320
0, 0, // 321-322
0, 0, // 323-324
0, 0, // 325-326
0, // 327
0, 0, // 328-329
0, 0, // 330-331
0, 0, // 332-333
0, 0, 0, // 334-336
0, 0, 0, // 337-339
0, 0, 0, 0, // 340-343
0, 0, // 344-345
0, 0, // 346-347
0, 0, 0, // 348-350
0, 0, 0, // 351-353
0, 0, // 354-355
0, 0, 0, // 356-358
0, 0, 0, 0, // 359-362
0, 0, // 363-364
0, 0, 0, // 365-367
0, 0, 0, // 368-370
0, 0, 0, 0, // 371-374
0, 0, 0, // 375-377
0, 0, 0, // 378-380
0, 0, // 381-382
0, 0, 0, // 383-385
0, 0, 0, 0, // 386-389
0, 0, // 390-391
0, // 392
0, 0, // 393-394
0, 0, // 395-396
0, 0, 0, // 397-399
0, 0, 0, // 400-402
0, 0, 0, // 403-405
0, 0, 0, // 406-408
0, 0, 0, // 409-411
0, 0, 0, // 412-414
0, 0, // 415-416
0, 0, // 417-418
0, 0, 0, // 419-421
0, 0, 0, 0, // 422-425
0, 0, 0, 0, // 426-429
0, 0, // 430-431
0, 0, 0, // 432-434
0, 0, 0, // 435-437
0, 0, 0, // 438-440
0, 0, // 441-442
0, // 443
0, // 444
0, // 445
0, // 446
0, 0, // 447-448
0, 0, 0, // 449-451
0, 0, 0, 0, // 452-455
0, 0, 0, // 456-458
0, 0, 0, // 459-461
0, 0, 0, 0, // 462-465
0, 0, 0, // 466-468
0, 0, 0, 0, // 469-472
0, 0, 0, // 473-475
0, 0, 0, // 476-478
0, 0, 0, // 479-481
0, 0, 0, 0, // 482-485
0, 0, // 486-487
0, 0, // 488-489
0, // 490
0, // 491
0, // 492
0, // 493
0, 0, // 494-495
0, 0, // 496-497
0, 0, 0, // 498-500
0, 0, 0, // 501-503
0, 0, 0, // 504-506
0, 0, 0, // 507-509
0, 0, 0, 0, // 510-513
0, 0, 0, // 514-516
0, 0, 0, // 517-519
0, 0, 0, 0, // 520-523
0, 0, 0, 0, // 524-527
0, 0, 0, 0, // 528-531
0, 0, 0, // 532-534
0, 0, 0, 0, // 535-538
0, 0, 0, 0, // 539-542
0, 0, 0, // 543-545
0, 0, 0, // 546-548
0, 0, 0, 0, // 549-552
0, 0, 0, // 553-555
0, 0, 0, // 556-558
0, 0, 0, // 559-561
0, 0, // 562-563
0, 0, // 564-565
0, 0, 0, // 566-568
0, 0, // 569-570
0, 0, 0, // 571-573
0, 0, 0, // 574-576
0, 0, 0, // 577-579
0, 0, 0, // 580-582
0, 0, 0, // 583-585
0, 0, // 586-587
0, 0, 0, // 588-590
0, 0, 0, // 591-593
0, 0, 0, 0, // 594-597
0, 0, 0, // 598-600
0, 0, 0, 0, // 601-604
0, 0, 0, // 605-607
0, 0, 0, 0, // 608-611
0, 0, 0, // 612-614
0, 0, 0, // 615-617
0, 0, // 618-619
0, 0, // 620-621
0, 0, 0, // 622-624
0, 0, // 625-626
0, 0, // 627-628
0, 0, 0, // 629-631
0, 0, 0, 0, // 632-635
0, 0, 0, 0, // 636-639
0, 0, 0, 0, 0, // 640-644
0, 0, 0, 0, 0, // 645-649
0, 0, 0, 0, 0, // 650-654
0, 0, 0, // 655-657
0, 0, 0, // 658-660
0, 0, 0, // 661-663
0, 0, 0, // 664-666
0, 0, 0, // 667-669
0, 0, 0, // 670-672
0, 0, // 673-674
0, 0, 0, // 675-677
0, 0, // 678-679
0, 0, 0, // 680-682
0, 0, 0, // 683-685
0, 0, 0, // 686-688
0, 0, 0, 0, // 689-692
0, 0, 0, // 693-695
0, 0, 0, 0, // 696-699
0, 0, 0, // 700-702
0, 0, 0, // 703-705
0, 0, 0, // 706-708
0, 0, 0, 0, // 709-712
0, 0, // 713-714
0, 0, // 715-716
0, 0, 0, // 717-719
0, 0, 0, // 720-722
0, 0, 0, 0, // 723-726
0, 0, 0, 0, // 727-730
0, // 731
0, 0, // 732-733
0, 0, // 734-735
0, 0, // 736-737
0, 0, // 738-739
0, 0, // 740-741
0, 0, // 742-743
0, 0, 0, // 744-746
0, 0, 0, // 747-749
0, 0, 0, // 750-752
0, 0, 0, 0, // 753-756
0, 0, 0, 0, // 757-760
0, 0, 0, 0, // 761-764
0, 0, 0, 0, // 765-768
0, 0, // 769-770
0, 0, // 771-772
0, 0, // 773-774
0, 0, // 775-776
0, 0, // 777-778
0, 0, // 779-780
0, 0, // 781-782
0, 0, // 783-784
0, 0, // 785-786
0, 0, // 787-788
0, 0, 0, // 789-791
0, 0, 0, // 792-794
0, 0, 0, // 795-797
0, // 798
0, // 799
0, // 800
0, 0, 0, // 801-803
0, 0, 0, // 804-806
0, 0, 0, // 807-809
0, 0, 0, // 810-812
0, 0, 0, 0, // 813-816
0, 0, 0, 0, // 817-820
0, 0, 0, 0, // 821-824
0, 0, 0, 0, 0, // 825-829
0, 0, 0, 0, // 830-833
0, 0, 0, 0, 0, // 834-838
0, 0, 0, // 839-841
0, 0, 0, // 842-844
0, 0, 0, // 845-847
0, 0, 0, // 848-850
0, // 851
0, // 852
0, 0, 0, // 853-855
0, 0, // 856-857
0, // 858
0, 0, // 859-860
0, 0, // 861-862
0, 0, // 863-864
0, // 865
0, // 866
0, 0, // 867-868
0, 0, // 869-870
0, 0, 0, // 871-873
0, 0, 0, // 874-876
0, 0, 0, 0, // 877-880
0, 0, 0, // 881-883
0, 0, 0, // 884-886
0, 0, 0, // 887-889
0, 0, 0, 0, // 890-893
0, 0, 0, 0, // 894-897
0, 0, // 898-899
0, // 900
0, 0, 0, // 901-903
0, // 904
0, 0, // 905-906
0, 0, 0, // 907-909
0, 0, 0, // 910-912
0, 0, // 913-914
0, 0, // 915-916
0, // 917
0, 0, // 918-919
0, 0, 0, // 920-922
0, 0, 0, // 923-925
0, 0, // 926-927
0, 0, // 928-929
0, // 930
0, // 931
0, // 932
0, 0, // 933-934
0, // 935
0, 0, // 936-937
0, 0, // 938-939
0, 0, 0, // 940-942
0, 0, // 943-944
0, 0, // 945-946
0, 0, // 947-948
0, // 949
0, 0, // 950-951
0, 0, 0, // 952-954
0, 0, 0, // 955-957
0, 0, 0, // 958-960
0, 0, 0, // 961-963
0, 0, 0, // 964-966
0, 0, 0, // 967-969
0, 0, 0, // 970-972
0, 0, 0, // 973-975
0, 0, // 976-977
0, 0, // 978-979
0, 0, // 980-981
0, 0, // 982-983
0, 0, // 984-985
0, 0, 0, // 986-988
0, 0, 0, 0, // 989-992
0, 0, 0, // 993-995
0, 0, 0, // 996-998
0, 0, 0, 0, // 999-1002
0, 0, // 1003-1004
0, 0, // 1005-1006
0, 0, 0, // 1007-1009
0, 0, 0, // 1010-1012
0, 0, 0, // 1013-1015
0, 0, 0, 0, // 1016-1019
0, 0, 0, 0, // 1020-1023
0, 0, 0, 0, // 1024-1027
0, 0, 0, 0, // 1028-1031
0, 0, 0, // 1032-1034
0, 0, 0, // 1035-1037
0, 0, 0, // 1038-1040
0, 0, 0, 0, // 1041-1044
0, 0, 0, 0, // 1045-1048
0, 0, 0, 0, // 1049-1052
0, 0, 0, 0, // 1053-1056
0, 0, 0, 0, // 1057-1060
0, 0, 0, 0, // 1061-1064
0, 0, 0, // 1065-1067
0, 0, 0, 0, // 1068-1071
0, 0, 0, 0, // 1072-1075
0, 0, 0, 0, // 1076-1079
0, 0, 0, 0, // 1080-1083
0, 0, 0, // 1084-1086
0, 0, // 1087-1088
0, 0, 0, // 1089-1091
0, 0, 0, // 1092-1094
0, 0, 0, 0, // 1095-1098
0, 0, 0, 0, // 1099-1102
0, 0, 0, // 1103-1105
0, 0, 0, // 1106-1108
0, 0, 0, 0, // 1109-1112
0, 0, 0, 0, // 1113-1116
0, 0, 0, 0, // 1117-1120
0, 0, 0, 0, // 1121-1124
0, 0, 0, 0, // 1125-1128
0, 0, 0, 0, // 1129-1132
0, 0, 0, // 1133-1135
0, 0, 0, 0, // 1136-1139
0, 0, // 1140-1141
0, 0, // 1142-1143
0, 0, // 1144-1145
0, 0, // 1146-1147
0, 0, 0, 0, // 1148-1151
0, 0, 0, 0, 0, // 1152-1156
0, 0, 0, 0, 0, // 1157-1161
0, 0, 0, 0, 0, // 1162-1166
0, 0, 0, 0, 0, // 1167-1171
0, 0, // 1172-1173
0, 0, // 1174-1175
0, // 1176
0, 0, // 1177-1178
0, // 1179
0, 0, 0, 0, // 1180-1183
0, 0, // 1184-1185
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1186-1187
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1188-1190
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 1191-1194
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 1195-1198
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1199-1203
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 1204-1205
0, 0, // 1206-1207
0, 0, 0, 0, // 1208-1211
0, // 1212
0, // 1213
0, // 1214
0, 0, // 1215-1216
0, 0, 0, // 1217-1219
0, // 1220
0, // 1221
0, // 1222
0, 0, // 1223-1224
0, 0, 0, // 1225-1227
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1228-1230
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1231-1233
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1234-1236
0, 0, 0, // 1237-1239
0, 0, 0, // 1240-1242
0, 0, 0, 0, // 1243-1246
0, 0, 0, 0, // 1247-1250
0, 0, 0, 0, // 1251-1254
0, // 1255
0, 0, // 1256-1257
0, 0, // 1258-1259
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 1260-1262
0, 0, // 1263-1264
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 1265-1267
0, 0, 0, 0, // 1268-1271
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1272-1274
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1275-1276
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1277-1281
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1282-1284
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 1285-1288
0, 0, // 1289-1290
0, 0, 0, // 1291-1293
0, 0, 0, 0, // 1294-1297
0, 0, 0, 0, // 1298-1301
0, 0, // 1302-1303
0, 0, // 1304-1305
CortexA9ItinerariesBypass::A9_LdBypass, // 1306
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1307-1308
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 1309-1311
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 1312-1314
0, // 1315
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1316-1320
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 1321-1324
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1325-1327
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1328-1330
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 1331-1334
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 1335-1338
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 1339-1342
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1343-1345
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 1346-1348
0, 0, // 1349-1350
0, 0, 0, // 1351-1353
0, // 1354
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 1355-1356
0, 0, 0, // 1357-1359
0, 0, 0, // 1360-1362
0, 0, // 1363-1364
0, 0, 0, 0, // 1365-1368
0, 0, 0, // 1369-1371
0, // 1372
0, 0, 0, 0, // 1373-1376
0, 0, 0, // 1377-1379
0, 0, 0, // 1380-1382
0, 0, 0, // 1383-1385
0, 0, 0, 0, // 1386-1389
0, 0, 0, // 1390-1392
0, 0, 0, // 1393-1395
0, 0, 0, // 1396-1398
0, 0, // 1399-1400
0, // 1401
0, 0, // 1402-1403
0, 0, 0, // 1404-1406
0, 0, 0, // 1407-1409
0, 0, 0, 0, // 1410-1413
0, 0, 0, 0, // 1414-1417
0, 0, 0, 0, // 1418-1421
0, 0, 0, // 1422-1424
0, 0, 0, // 1425-1427
0, 0, 0, // 1428-1430
0, 0, 0, // 1431-1433
0, 0, // 1434-1435
0, 0, // 1436-1437
0, 0, // 1438-1439
0, 0, // 1440-1441
0, 0, 0, // 1442-1444
0, 0, 0, // 1445-1447
0, 0, 0, // 1448-1450
0, 0, 0, // 1451-1453
0, 0, 0, // 1454-1456
0, 0, 0, // 1457-1459
0, 0, 0, // 1460-1462
0, 0, 0, // 1463-1465
0, 0, 0, // 1466-1468
0, 0, 0, 0, // 1469-1472
0, 0, 0, 0, // 1473-1476
0, 0, // 1477-1478
0, 0, // 1479-1480
0, 0, // 1481-1482
0, 0, // 1483-1484
0, 0, // 1485-1486
0, 0, // 1487-1488
0, 0, 0, // 1489-1491
0, 0, 0, // 1492-1494
0, 0, // 1495-1496
0, 0, // 1497-1498
0, 0, // 1499-1500
0, 0, 0, // 1501-1503
0, 0, 0, // 1504-1506
0, 0, 0, 0, // 1507-1510
0, 0, 0, 0, // 1511-1514
0, 0, 0, 0, // 1515-1518
0, 0, 0, 0, // 1519-1522
0, 0, // 1523-1524
0, 0, 0, // 1525-1527
0, 0, // 1528-1529
0, 0, // 1530-1531
0, 0, 0, 0, // 1532-1535
0, 0, // 1536-1537
0, 0, 0, 0, // 1538-1541
0, 0, 0, 0, // 1542-1545
0, 0, 0, 0, 0, 0, // 1546-1551
0, 0, 0, 0, // 1552-1555
0, 0, // 1556-1557
0, 0, 0, 0, 0, // 1558-1562
0, 0, 0, 0, // 1563-1566
0, 0, 0, 0, // 1567-1570
0, 0, 0, 0, // 1571-1574
0, 0, 0, // 1575-1577
0, 0, 0, // 1578-1580
0, 0, 0, 0, // 1581-1584
0, 0, 0, // 1585-1587
0, 0, 0, 0, 0, // 1588-1592
0, 0, 0, // 1593-1595
0, 0, 0, 0, 0, 0, // 1596-1601
0, 0, 0, 0, 0, 0, 0, 0, // 1602-1609
0, 0, 0, 0, 0, 0, 0, 0, // 1610-1617
0, 0, 0, // 1618-1620
0, 0, 0, 0, 0, 0, // 1621-1626
0, 0, 0, 0, 0, // 1627-1631
0, 0, 0, 0, 0, 0, // 1632-1637
0, 0, 0, 0, // 1638-1641
0, 0, 0, 0, 0, 0, // 1642-1647
0, 0, 0, 0, 0, 0, 0, 0, // 1648-1655
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 1656-1665
0, 0, 0, 0, // 1666-1669
0, 0, 0, 0, 0, // 1670-1674
0, 0, 0, 0, 0, // 1675-1679
0, 0, 0, 0, 0, // 1680-1684
0, 0, 0, 0, 0, 0, 0, // 1685-1691
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 1692-1701
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 1702-1713
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 1714-1725
0, 0, 0, 0, 0, // 1726-1730
0, 0, 0, 0, 0, 0, // 1731-1736
0, 0, 0, 0, // 1737-1740
0, 0, 0, 0, // 1741-1744
0, 0, // 1745-1746
0, 0, // 1747-1748
0, 0, 0, 0, // 1749-1752
0, 0, 0, 0, // 1753-1756
0, 0, 0, 0, // 1757-1760
0, 0, 0, 0, // 1761-1764
0, 0, 0, 0, // 1765-1768
0, 0, 0, 0, // 1769-1772
0, 0, 0, // 1773-1775
0, 0, // 1776-1777
0, 0, // 1778-1779
0, 0, // 1780-1781
0, 0, // 1782-1783
0, 0, 0, // 1784-1786
0, 0, 0, // 1787-1789
0, 0, 0, // 1790-1792
0, 0, 0, // 1793-1795
0, 0, 0, // 1796-1798
0, 0, 0, // 1799-1801
0, 0, 0, // 1802-1804
0, 0, 0, // 1805-1807
0, 0, 0, // 1808-1810
0, 0, 0, // 1811-1813
0, 0, 0, // 1814-1816
0, 0, 0, // 1817-1819
0, 0, 0, // 1820-1822
0, 0, // 1823-1824
0, 0, 0, // 1825-1827
0, 0, 0, // 1828-1830
0, 0, 0, // 1831-1833
0, 0, 0, // 1834-1836
0, 0, 0, // 1837-1839
0, 0, // 1840-1841
0, 0, // 1842-1843
0, 0, // 1844-1845
0, 0, // 1846-1847
0, 0, 0, // 1848-1850
0, 0, 0, 0, 0, // 1851-1855
0, 0, 0, // 1856-1858
0, 0, 0, 0, 0, 0, // 1859-1864
0, 0, 0, 0, 0, 0, // 1865-1870
0, 0, 0, 0, 0, // 1871-1875
0, 0, 0, 0, 0, // 1876-1880
0, 0, 0, // 1881-1883
0, 0, 0, 0, 0, 0, 0, 0, // 1884-1891
0, 0, 0, 0, 0, 0, 0, // 1892-1898
0, 0, 0, 0, // 1899-1902
0, 0, 0, 0, // 1903-1906
0, 0, 0, 0, // 1907-1910
0, 0, 0, 0, 0, 0, // 1911-1916
0, 0, 0, 0, 0, 0, // 1917-1922
0, 0, 0, 0, // 1923-1926
0, 0, 0, // 1927-1929
0, 0, 0, 0, // 1930-1933
0, 0, 0, 0, 0, 0, // 1934-1939
0, 0, 0, 0, 0, 0, 0, 0, // 1940-1947
0, 0, 0, // 1948-1950
0, 0, 0, 0, 0, // 1951-1955
0, 0, 0, 0, 0, 0, 0, // 1956-1962
0, 0, 0, 0, 0, 0, 0, // 1963-1969
0, 0, 0, 0, 0, // 1970-1974
0, 0, 0, 0, 0, // 1975-1979
0, 0, 0, 0, 0, 0, 0, // 1980-1986
0, 0, 0, 0, 0, 0, // 1987-1992
0, 0, 0, 0, 0, 0, 0, 0, // 1993-2000
0, 0, 0, 0, 0, 0, 0, 0, // 2001-2008
0, 0, 0, 0, 0, 0, // 2009-2014
0, 0, 0, 0, 0, 0, 0, 0, // 2015-2022
0, 0, 0, 0, // 2023-2026
0, 0, 0, 0, // 2027-2030
0, 0, // 2031-2032
0, 0, // 2033-2034
0, 0, 0, // 2035-2037
0, 0, 0, // 2038-2040
0, 0, 0, 0, // 2041-2044
0, 0, 0, 0, 0, // 2045-2049
0, 0, 0, 0, 0, 0, // 2050-2055
0, 0, 0, 0, // 2056-2059
0, 0, 0, 0, 0, // 2060-2064
0, 0, 0, 0, 0, 0, // 2065-2070
0, 0, 0, 0, 0, 0, 0, // 2071-2077
0, 0, // 2078-2079
0, 0, // 2080-2081
0, 0, 0, 0, // 2082-2085
0, 0, 0, 0, // 2086-2089
0, 0, 0, 0, // 2090-2093
0, 0, // 2094-2095
0, 0, // 2096-2097
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 2098-2099
CortexA9ItinerariesBypass::A9_LdBypass, // 2100
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2101-2102
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 2103-2104
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2105-2106
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2107-2109
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2110-2112
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2113-2116
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2117-2119
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2120-2121
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2122-2124
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2125-2127
0, 0, // 2128-2129
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2130-2132
0, 0, 0, // 2133-2135
0, 0, 0, 0, // 2136-2139
0, 0, // 2140-2141
0, 0, 0, // 2142-2144
0, 0, 0, // 2145-2147
0, 0, 0, 0, // 2148-2151
0, 0, 0, // 2152-2154
0, 0, 0, // 2155-2157
0, 0, // 2158-2159
0, 0, 0, // 2160-2162
0, 0, 0, 0, // 2163-2166
0, 0, // 2167-2168
0, // 2169
0, 0, // 2170-2171
0, 0, // 2172-2173
0, 0, 0, // 2174-2176
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2177-2179
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2180-2182
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2183-2185
0, 0, 0, // 2186-2188
0, 0, 0, // 2189-2191
0, 0, // 2192-2193
0, 0, // 2194-2195
0, 0, 0, // 2196-2198
0, 0, 0, 0, // 2199-2202
0, 0, 0, 0, // 2203-2206
0, 0, // 2207-2208
0, 0, 0, // 2209-2211
0, 0, 0, // 2212-2214
0, 0, 0, // 2215-2217
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2218-2219
0, // 2220
0, // 2221
0, // 2222
0, // 2223
0, 0, // 2224-2225
0, 0, 0, // 2226-2228
0, 0, 0, 0, // 2229-2232
0, 0, 0, 0, // 2233-2236
0, 0, 0, 0, // 2237-2240
0, 0, 0, 0, // 2241-2244
0, 0, 0, // 2245-2247
0, 0, 0, 0, // 2248-2251
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2252-2254
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2255-2257
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2258-2260
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2261-2264
0, 0, // 2265-2266
0, 0, // 2267-2268
0, // 2269
0, // 2270
0, // 2271
0, // 2272
0, 0, // 2273-2274
0, 0, // 2275-2276
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2277-2279
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2280-2282
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2283-2285
0, 0, 0, // 2286-2288
0, 0, 0, 0, // 2289-2292
0, 0, 0, // 2293-2295
0, 0, 0, // 2296-2298
0, 0, 0, 0, // 2299-2302
0, 0, 0, 0, // 2303-2306
0, 0, 0, 0, // 2307-2310
0, 0, 0, // 2311-2313
0, 0, 0, 0, // 2314-2317
0, 0, 0, 0, // 2318-2321
0, 0, 0, 0, // 2322-2325
0, 0, 0, 0, // 2326-2329
0, 0, 0, 0, // 2330-2333
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2334-2336
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2337-2339
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2340-2342
0, 0, // 2343-2344
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2345-2346
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2347-2349
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2350-2351
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2352-2354
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2355-2357
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2358-2360
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2361-2363
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2364-2366
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2367-2368
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2369-2371
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2372-2374
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2375-2378
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2379-2381
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2382-2385
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2386-2388
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2389-2392
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2393-2395
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2396-2398
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2399-2400
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2401-2402
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2403-2405
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2406-2407
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 2408-2410
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2411-2414
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2415-2418
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 2419-2422
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2423-2427
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2428-2432
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2433-2437
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2438-2440
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 2441-2443
0, 0, 0, // 2444-2446
0, 0, 0, // 2447-2449
0, 0, 0, // 2450-2452
0, 0, 0, // 2453-2455
0, 0, // 2456-2457
0, 0, 0, // 2458-2460
0, 0, // 2461-2462
0, 0, 0, // 2463-2465
0, 0, 0, // 2466-2468
0, 0, 0, // 2469-2471
0, 0, 0, 0, // 2472-2475
0, 0, 0, // 2476-2478
0, 0, 0, 0, // 2479-2482
0, 0, 0, // 2483-2485
0, 0, 0, // 2486-2488
0, 0, 0, // 2489-2491
0, 0, 0, 0, // 2492-2495
0, 0, // 2496-2497
0, 0, // 2498-2499
0, 0, 0, // 2500-2502
0, 0, 0, // 2503-2505
0, 0, 0, 0, // 2506-2509
0, 0, 0, 0, // 2510-2513
0, // 2514
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 2515-2516
0, 0, // 2517-2518
0, 0, 0, // 2519-2521
0, 0, 0, // 2522-2524
0, 0, 0, // 2525-2527
0, 0, 0, // 2528-2530
0, 0, // 2531-2532
0, 0, // 2533-2534
0, 0, 0, // 2535-2537
0, 0, 0, // 2538-2540
0, 0, 0, // 2541-2543
0, 0, 0, // 2544-2546
0, 0, 0, // 2547-2549
0, 0, 0, // 2550-2552
0, // 2553
0, 0, 0, // 2554-2556
0, 0, 0, // 2557-2559
0, 0, 0, // 2560-2562
0, 0, 0, // 2563-2565
0, 0, 0, // 2566-2568
0, 0, 0, // 2569-2571
0, 0, // 2572-2573
0, 0, // 2574-2575
0, 0, 0, 0, // 2576-2579
0, 0, 0, 0, // 2580-2583
0, 0, 0, // 2584-2586
0, 0, 0, // 2587-2589
0, 0, 0, // 2590-2592
0, 0, 0, // 2593-2595
0, 0, 0, // 2596-2598
0, 0, 0, // 2599-2601
0, 0, 0, // 2602-2604
0, 0, 0, // 2605-2607
0, 0, // 2608-2609
0, 0, // 2610-2611
0, 0, // 2612-2613
0, 0, // 2614-2615
0, 0, // 2616-2617
0, 0, // 2618-2619
0, 0, 0, // 2620-2622
0, 0, 0, // 2623-2625
0, 0, // 2626-2627
0, 0, // 2628-2629
0, 0, 0, // 2630-2632
0, 0, 0, // 2633-2635
0, 0, 0, // 2636-2638
0, 0, 0, // 2639-2641
0, 0, 0, // 2642-2644
0, 0, 0, 0, // 2645-2648
0, 0, 0, 0, // 2649-2652
0, 0, 0, 0, 0, // 2653-2657
0, 0, 0, 0, 0, // 2658-2662
0, 0, 0, 0, 0, 0, // 2663-2668
0, 0, 0, 0, 0, 0, // 2669-2674
0, 0, 0, 0, 0, 0, 0, // 2675-2681
0, 0, 0, 0, // 2682-2685
0, 0, 0, 0, // 2686-2689
0, 0, 0, 0, // 2690-2693
0, 0, // 2694-2695
0, 0, // 2696-2697
0, 0, // 2698-2699
0, 0, // 2700-2701
0, 0, 0, // 2702-2704
0, 0, 0, // 2705-2707
0, 0, 0, // 2708-2710
0, 0, 0, // 2711-2713
0, 0, 0, // 2714-2716
0, 0, 0, // 2717-2719
0, 0, 0, // 2720-2722
0, 0, 0, // 2723-2725
0, 0, 0, // 2726-2728
0, 0, 0, // 2729-2731
0, 0, 0, // 2732-2734
0, 0, 0, // 2735-2737
0, 0, 0, // 2738-2740
0, 0, 0, // 2741-2743
0, 0, 0, // 2744-2746
0, 0, 0, // 2747-2749
0, 0, 0, // 2750-2752
0, 0, 0, // 2753-2755
0, 0, 0, 0, // 2756-2759
0, 0, 0, 0, // 2760-2763
0, 0, 0, 0, // 2764-2767
0, 0, 0, 0, // 2768-2771
0, 0, 0, 0, // 2772-2775
0, 0, 0, 0, // 2776-2779
0, 0, 0, 0, // 2780-2783
0, 0, 0, 0, // 2784-2787
0, 0, 0, 0, // 2788-2791
0, 0, 0, 0, // 2792-2795
0, 0, 0, 0, // 2796-2799
0, 0, 0, 0, // 2800-2803
0, 0, // 2804-2805
0, 0, // 2806-2807
0, 0, // 2808-2809
0, 0, // 2810-2811
0, 0, // 2812-2813
0, 0, // 2814-2815
0, 0, // 2816-2817
0, 0, // 2818-2819
0, 0, // 2820-2821
0, 0, // 2822-2823
0, // 2824
0, 0, // 2825-2826
0, 0, // 2827-2828
0, 0, 0, // 2829-2831
0, 0, // 2832-2833
0, 0, // 2834-2835
0, 0, // 2836-2837
0, 0, // 2838-2839
0, 0, // 2840-2841
0, 0, // 2842-2843
0, 0, // 2844-2845
0, 0, // 2846-2847
0, 0, 0, // 2848-2850
0, 0, 0, // 2851-2853
0, 0, 0, // 2854-2856
0, 0, 0, // 2857-2859
0, 0, // 2860-2861
0, 0, // 2862-2863
0, // 2864
0, // 2865
0, // 2866
0, 0, // 2867-2868
0, 0, // 2869-2870
0, 0, // 2871-2872
0, 0, // 2873-2874
0, 0, 0, 0, // 2875-2878
0, 0, 0, 0, // 2879-2882
0, 0, 0, 0, // 2883-2886
0, 0, 0, 0, // 2887-2890
0, 0, 0, 0, // 2891-2894
0, 0, 0, 0, // 2895-2898
0, 0, // 2899-2900
0, 0, 0, // 2901-2903
0, 0, 0, // 2904-2906
0, 0, 0, 0, // 2907-2910
0, 0, 0, 0, // 2911-2914
0, 0, 0, 0, // 2915-2918
0, 0, 0, 0, 0, // 2919-2923
0, 0, 0, 0, // 2924-2927
0, 0, 0, // 2928-2930
0, 0, 0, 0, 0, // 2931-2935
0, 0, 0, 0, 0, 0, // 2936-2941
0, 0, 0, 0, 0, 0, // 2942-2947
0, 0, 0, 0, // 2948-2951
0, 0, 0, 0, // 2952-2955
0, 0, 0, 0, 0, // 2956-2960
0, 0, 0, 0, 0, // 2961-2965
0, 0, 0, 0, 0, // 2966-2970
0, 0, 0, 0, 0, // 2971-2975
0, 0, 0, 0, 0, 0, // 2976-2981
0, 0, 0, 0, 0, 0, // 2982-2987
0, 0, // 2988-2989
0, 0, // 2990-2991
0, 0, 0, 0, // 2992-2995
0, 0, 0, 0, // 2996-2999
0, 0, 0, 0, // 3000-3003
0, 0, 0, 0, // 3004-3007
0, 0, 0, 0, 0, 0, // 3008-3013
0, 0, 0, // 3014-3016
0, 0, 0, 0, 0, 0, // 3017-3022
0, 0, 0, 0, 0, 0, 0, 0, // 3023-3030
0, 0, 0, 0, 0, // 3031-3035
0, 0, 0, 0, 0, 0, 0, 0, // 3036-3043
0, 0, 0, 0, // 3044-3047
0, 0, 0, 0, 0, 0, 0, 0, // 3048-3055
0, 0, 0, 0, 0, 0, // 3056-3061
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 3062-3071
0, 0, 0, 0, 0, 0, // 3072-3077
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 3078-3087
0, 0, 0, 0, 0, // 3088-3092
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 3093-3102
0, 0, 0, 0, 0, // 3103-3107
0, 0, 0, 0, 0, 0, 0, // 3108-3114
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 3115-3126
0, 0, 0, 0, 0, 0, 0, // 3127-3133
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 3134-3145
0, 0, 0, // 3146-3148
0, 0, 0, 0, // 3149-3152
0, 0, 0, // 3153-3155
0, 0, 0, 0, // 3156-3159
0, 0, 0, 0, 0, // 3160-3164
0, 0, 0, 0, 0, // 3165-3169
0, 0, 0, 0, 0, 0, 0, // 3170-3176
0, 0, 0, 0, 0, 0, // 3177-3182
0, 0, 0, 0, 0, 0, // 3183-3188
0, 0, 0, 0, 0, 0, 0, 0, // 3189-3196
0, 0, 0, 0, // 3197-3200
0, 0, 0, 0, // 3201-3204
0, 0, 0, // 3205-3207
0, 0, 0, 0, 0, 0, // 3208-3213
0, 0, 0, // 3214-3216
0, 0, 0, 0, 0, 0, 0, 0, // 3217-3224
0, 0, 0, 0, 0, // 3225-3229
0, 0, 0, 0, 0, 0, 0, // 3230-3236
0, 0, 0, 0, 0, 0, // 3237-3242
0, 0, 0, 0, 0, 0, 0, 0, // 3243-3250
0, 0, 0, // 3251-3253
0, 0, 0, 0, 0, // 3254-3258
0, 0, 0, 0, // 3259-3262
0, 0, 0, 0, 0, 0, // 3263-3268
0, 0, 0, 0, 0, 0, // 3269-3274
0, 0, 0, 0, 0, // 3275-3279
0, 0, 0, 0, 0, // 3280-3284
0, 0, 0, 0, 0, 0, 0, // 3285-3291
0, 0, 0, 0, 0, 0, 0, // 3292-3298
0, 0, 0, 0, 0, 0, // 3299-3304
0, 0, 0, 0, 0, 0, 0, 0, // 3305-3312
0, 0, 0, 0, 0, 0, 0, 0, // 3313-3320
0, 0, 0, // 3321-3323
0, 0, // 3324-3325
0, 0, 0, // 3326-3328
0, 0, // 3329-3330
0, // 3331
0, // 3332
0, 0, 0, // 3333-3335
0, 0, // 3336-3337
0, // 3338
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3339-3340
0, 0, // 3341-3342
0, 0, // 3343-3344
0, // 3345
0, // 3346
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3347-3348
0, 0, // 3349-3350
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3351-3353
0, 0, 0, // 3354-3356
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 3357-3360
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 3361-3363
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, // 3364-3366
0, 0, 0, // 3367-3369
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3370-3372
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 3373-3376
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 3377-3380
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3381-3382
0, // 3383
0, 0, 0, // 3384-3386
CortexA9ItinerariesBypass::A9_LdBypass, // 3387
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3388-3389
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 3390-3392
CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, // 3393-3395
0, 0, // 3396-3397
0, 0, // 3398-3399
0, // 3400
0, 0, // 3401-3402
0, 0, 0, // 3403-3405
0, 0, 0, // 3406-3408
0, 0, 0, // 3409-3411
0, 0, 0, // 3412-3414
0, 0, // 3415-3416
0, 0, // 3417-3418
0, 0, // 3419-3420
0, 0, // 3421-3422
0, 0, 0, // 3423-3425
0, 0, 0, // 3426-3428
0, 0, 0, // 3429-3431
0, 0, 0, // 3432-3434
0, 0, 0, // 3435-3437
0, 0, 0, // 3438-3440
0, // 3441
0, // 3442
0, 0, 0, 0, // 3443-3446
0, 0, 0, // 3447-3449
0, 0, 0, // 3450-3452
0, 0, 0, // 3453-3455
0, 0, 0, // 3456-3458
0, 0, 0, // 3459-3461
0, 0, 0, // 3462-3464
0, 0, 0, // 3465-3467
0, 0, 0, // 3468-3470
0, 0, 0, // 3471-3473
0, // 3474
0, // 3475
0, 0, 0, // 3476-3478
0, 0, 0, // 3479-3481
0, 0, 0, // 3482-3484
0, 0, 0, // 3485-3487
0, 0, 0, // 3488-3490
0, 0, 0, // 3491-3493
0, 0, 0, // 3494-3496
0, 0, 0, // 3497-3499
0, 0, // 3500-3501
0, 0, 0, 0, // 3502-3505
0, 0, 0, 0, // 3506-3509
0, 0, 0, // 3510-3512
0, 0, 0, // 3513-3515
0, 0, 0, // 3516-3518
0, 0, 0, // 3519-3521
0, 0, // 3522-3523
0, 0, // 3524-3525
0, 0, 0, // 3526-3528
0, 0, 0, // 3529-3531
0, 0, 0, // 3532-3534
0, 0, 0, // 3535-3537
0, 0, 0, // 3538-3540
0, 0, // 3541-3542
0, 0, // 3543-3544
0, 0, 0, 0, // 3545-3548
0, 0, 0, 0, // 3549-3552
0, 0, 0, // 3553-3555
0, 0, 0, // 3556-3558
0, 0, 0, // 3559-3561
0, 0, 0, // 3562-3564
0, 0, 0, // 3565-3567
0, 0, 0, // 3568-3570
0, 0, 0, // 3571-3573
0, 0, 0, // 3574-3576
0, 0, 0, 0, 0, // 3577-3581
0, 0, 0, 0, 0, 0, // 3582-3587
0, 0, 0, 0, 0, 0, // 3588-3593
0, 0, 0, // 3594-3596
0, 0, 0, 0, 0, // 3597-3601
0, 0, 0, 0, 0, 0, // 3602-3607
0, 0, 0, 0, // 3608-3611
0, 0, 0, 0, // 3612-3615
0, 0, 0, 0, // 3616-3619
0, 0, 0, 0, 0, 0, // 3620-3625
0, 0, 0, 0, 0, 0, // 3626-3631
0, 0, 0, 0, 0, // 3632-3636
0, 0, 0, 0, 0, // 3637-3641
0, 0, 0, 0, 0, // 3642-3646
0, 0, 0, 0, 0, // 3647-3651
0, 0, 0, 0, 0, 0, 0, // 3652-3658
0, 0, 0, 0, 0, 0, 0, // 3659-3665
0, 0, 0, 0, 0, 0, 0, // 3666-3672
0, 0, 0, 0, 0, 0, // 3673-3678
0, 0, 0, 0, 0, 0, // 3679-3684
0, 0, 0, 0, 0, 0, // 3685-3690
0, 0, 0, 0, 0, 0, // 3691-3696
0, 0, 0, 0, 0, 0, // 3697-3702
0, 0, 0, 0, 0, 0, 0, 0, // 3703-3710
0, 0, 0, 0, 0, 0, 0, 0, // 3711-3718
0, 0, 0, 0, 0, 0, 0, 0, // 3719-3726
0, // 3727
0, 0, // 3728-3729
0, // 3730
0, 0, // 3731-3732
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3733-3734
0, 0, 0, // 3735-3737
0, 0, // 3738-3739
0, 0, // 3740-3741
0, 0, // 3742-3743
0, // 3744
0, 0, // 3745-3746
0, 0, 0, // 3747-3749
0, 0, 0, // 3750-3752
0, 0, 0, // 3753-3755
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3756-3758
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3759-3761
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3762-3764
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3765-3767
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 3768-3770
0, 0, // 3771-3772
0, 0, // 3773-3774
0, 0, // 3775-3776
0, 0, // 3777-3778
0, 0, // 3779-3780
0, 0, 0, // 3781-3783
0, 0, 0, 0, // 3784-3787
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3788-3790
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3791-3793
0, 0, 0, 0, // 3794-3797
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 3798-3799
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 3800-3801
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3802-3804
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3805-3807
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3808-3810
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3811-3814
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3815-3818
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3819-3822
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3823-3826
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3827-3829
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3830-3832
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3833-3835
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3836-3839
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3840-3843
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3844-3847
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3848-3851
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3852-3855
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3856-3859
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, // 3860-3862
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3863-3866
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3867-3870
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3871-3874
CortexA9ItinerariesBypass::A9_LdBypass, 0, 0, 0, // 3875-3878
0, 0, // 3879-3880
0, 0, // 3881-3882
0, 0, 0, // 3883-3885
0, 0, // 3886-3887
0, 0, 0, // 3888-3890
0, 0, 0, // 3891-3893
0, 0, 0, 0, // 3894-3897
0, 0, 0, 0, // 3898-3901
0, 0, 0, // 3902-3904
0, 0, 0, // 3905-3907
0, 0, 0, 0, // 3908-3911
0, 0, 0, 0, // 3912-3915
0, 0, 0, 0, // 3916-3919
0, 0, 0, 0, // 3920-3923
0, 0, 0, 0, // 3924-3927
0, 0, 0, 0, // 3928-3931
0, 0, 0, // 3932-3934
0, 0, 0, 0, // 3935-3938
0, 0, // 3939-3940
0, 0, // 3941-3942
0, 0, 0, // 3943-3945
0, 0, // 3946-3947
0, 0, // 3948-3949
0, 0, 0, 0, // 3950-3953
0, 0, 0, 0, // 3954-3957
0, 0, 0, // 3958-3960
0, 0, 0, // 3961-3963
0, // 3964
0, 0, 0, // 3965-3967
0, 0, 0, // 3968-3970
0, 0, 0, // 3971-3973
0, 0, 0, // 3974-3976
0, 0, 0, // 3977-3979
0, 0, 0, 0, // 3980-3983
0, 0, 0, 0, // 3984-3987
0, 0, 0, 0, // 3988-3991
0, 0, 0, 0, // 3992-3995
0, 0, 0, 0, // 3996-3999
0, 0, 0, 0, // 4000-4003
0, 0, 0, // 4004-4006
0, 0, 0, // 4007-4009
0, 0, 0, // 4010-4012
0, 0, 0, // 4013-4015
0, 0, 0, // 4016-4018
0, 0, 0, // 4019-4021
0, 0, 0, // 4022-4024
0, 0, 0, 0, // 4025-4028
0, 0, 0, 0, // 4029-4032
0, 0, // 4033-4034
0, 0, // 4035-4036
0, 0, 0, // 4037-4039
0, 0, 0, // 4040-4042
0, // 4043
0, 0, 0, 0, // 4044-4047
0, 0, 0, // 4048-4050
0, 0, 0, 0, 0, 0, // 4051-4056
0, 0, 0, 0, 0, 0, 0, 0, // 4057-4064
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4065-4074
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4075-4084
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4085-4094
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4095-4106
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4107-4118
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 4119-4123
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 4124-4128
CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::NoBypass, CortexA9ItinerariesBypass::A9_LdBypass, // 4129-4133
CortexA9ItinerariesBypass::A9_LdBypass, 0, // 4134-4135
0, 0, // 4136-4137
0, // 4138
0, 0, // 4139-4140
0, // 4141
0, 0, 0, 0, // 4142-4145
0, 0, // 4146-4147
0, 0, 0, // 4148-4150
0, 0, // 4151-4152
0, 0, 0, 0, 0, // 4153-4157
0, 0, 0, 0, // 4158-4161
0, 0, 0, // 4162-4164
0, 0, 0, 0, // 4165-4168
0, 0, 0, 0, 0, // 4169-4173
0, 0, 0, 0, 0, // 4174-4178
0, 0, 0, 0, 0, 0, // 4179-4184
0, // 4185
0, 0, // 4186-4187
0, 0, 0, // 4188-4190
0, 0, 0, 0, // 4191-4194
0, 0, 0, 0, // 4195-4198
0, 0, 0, 0, 0, // 4199-4203
0, 0, // 4204-4205
0, 0, // 4206-4207
0, 0, 0, 0, // 4208-4211
0, // 4212
0, // 4213
0, 0, // 4214-4215
0, 0, // 4216-4217
0, 0, 0, // 4218-4220
0, // 4221
0, // 4222
0, // 4223
0, 0, // 4224-4225
0, 0, 0, // 4226-4228
0, 0, 0, // 4229-4231
0, 0, 0, // 4232-4234
0, 0, 0, // 4235-4237
0, 0, 0, // 4238-4240
0, 0, 0, // 4241-4243
0, 0, 0, 0, // 4244-4247
0, 0, 0, 0, // 4248-4251
0, 0, 0, 0, // 4252-4255
0, // 4256
0, 0, // 4257-4258
0, 0, // 4259-4260
0, 0, 0, // 4261-4263
0, 0, // 4264-4265
0, 0, 0, // 4266-4268
0, 0, 0, 0, // 4269-4272
0, 0, 0, // 4273-4275
0, 0, // 4276-4277
0, 0, 0, 0, 0, // 4278-4282
0, 0, 0, // 4283-4285
0, 0, 0, 0, // 4286-4289
0, 0, // 4290-4291
0, 0, 0, // 4292-4294
0, 0, 0, 0, // 4295-4298
0, 0, 0, 0, // 4299-4302
0, 0, // 4303-4304
0, 0, // 4305-4306
0, // 4307
0, 0, // 4308-4309
0, 0, 0, // 4310-4312
0, 0, 0, // 4313-4315
0, // 4316
0, 0, 0, 0, 0, // 4317-4321
0, 0, 0, 0, // 4322-4325
0, 0, 0, // 4326-4328
0, 0, 0, // 4329-4331
0, 0, 0, // 4332-4334
0, 0, 0, 0, // 4335-4338
0, 0, 0, 0, // 4339-4342
0, 0, 0, // 4343-4345
0, 0, 0, // 4346-4348
0, 0, // 4349-4350
0, 0, 0, // 4351-4353
0, // 4354
0, 0, // 4355-4356
0, 0, 0, // 4357-4359
0, 0, 0, // 4360-4362
0, 0, // 4363-4364
0, 0, 0, 0, // 4365-4368
0, 0, 0, // 4369-4371
0, // 4372
0, 0, 0, 0, // 4373-4376
0, 0, 0, // 4377-4379
0, 0, 0, // 4380-4382
0, 0, 0, // 4383-4385
0, 0, 0, 0, // 4386-4389
0, 0, 0, // 4390-4392
0, 0, 0, // 4393-4395
0, 0, 0, // 4396-4398
0, 0, // 4399-4400
0, // 4401
0, 0, // 4402-4403
0, 0, 0, // 4404-4406
0, 0, 0, // 4407-4409
0, 0, 0, 0, // 4410-4413
0, 0, 0, 0, // 4414-4417
0, 0, 0, 0, // 4418-4421
0, 0, 0, // 4422-4424
0, 0, 0, // 4425-4427
0, 0, 0, // 4428-4430
0, 0, 0, // 4431-4433
0, 0, // 4434-4435
0, 0, // 4436-4437
0, 0, // 4438-4439
0, 0, // 4440-4441
0, 0, 0, // 4442-4444
0, 0, 0, // 4445-4447
0, 0, 0, // 4448-4450
0, 0, 0, // 4451-4453
0, 0, 0, // 4454-4456
0, 0, 0, // 4457-4459
0, 0, 0, // 4460-4462
0, 0, 0, // 4463-4465
0, 0, 0, // 4466-4468
0, 0, 0, 0, // 4469-4472
0, 0, 0, 0, // 4473-4476
0, 0, // 4477-4478
0, 0, // 4479-4480
0, 0, // 4481-4482
0, 0, // 4483-4484
0, 0, 0, // 4485-4487
0, 0, 0, // 4488-4490
0, 0, // 4491-4492
0, 0, // 4493-4494
0, 0, // 4495-4496
0, 0, 0, // 4497-4499
0, 0, 0, // 4500-4502
0, 0, 0, 0, // 4503-4506
0, 0, 0, 0, // 4507-4510
0, 0, 0, 0, // 4511-4514
0, 0, 0, 0, // 4515-4518
0, 0, // 4519-4520
0, 0, 0, // 4521-4523
0, 0, // 4524-4525
0, 0, // 4526-4527
0, 0, 0, 0, // 4528-4531
0, 0, // 4532-4533
0, 0, 0, 0, // 4534-4537
0, 0, 0, 0, // 4538-4541
0, 0, 0, 0, 0, 0, // 4542-4547
0, 0, 0, 0, // 4548-4551
0, 0, // 4552-4553
0, 0, 0, 0, 0, // 4554-4558
0, 0, 0, 0, // 4559-4562
0, 0, 0, 0, // 4563-4566
0, 0, 0, 0, // 4567-4570
0, 0, 0, // 4571-4573
0, 0, 0, // 4574-4576
0, 0, 0, 0, // 4577-4580
0, 0, 0, // 4581-4583
0, 0, 0, 0, 0, // 4584-4588
0, 0, 0, // 4589-4591
0, 0, 0, 0, 0, 0, // 4592-4597
0, 0, 0, 0, 0, 0, 0, 0, // 4598-4605
0, 0, 0, 0, 0, 0, 0, 0, // 4606-4613
0, 0, 0, // 4614-4616
0, 0, 0, 0, 0, 0, // 4617-4622
0, 0, 0, 0, 0, // 4623-4627
0, 0, 0, 0, 0, 0, // 4628-4633
0, 0, 0, 0, // 4634-4637
0, 0, 0, 0, 0, 0, // 4638-4643
0, 0, 0, 0, 0, 0, 0, 0, // 4644-4651
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4652-4661
0, 0, 0, 0, // 4662-4665
0, 0, 0, 0, 0, // 4666-4670
0, 0, 0, 0, 0, // 4671-4675
0, 0, 0, 0, 0, // 4676-4680
0, 0, 0, 0, 0, 0, 0, // 4681-4687
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4688-4697
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4698-4709
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 4710-4721
0, 0, 0, 0, 0, // 4722-4726
0, 0, 0, 0, 0, 0, // 4727-4732
0, 0, 0, 0, 0, // 4733-4737
0, 0, 0, 0, // 4738-4741
0, 0, // 4742-4743
0, 0, // 4744-4745
0, 0, 0, 0, // 4746-4749
0, 0, 0, 0, // 4750-4753
0, 0, 0, 0, // 4754-4757
0, 0, 0, 0, // 4758-4761
0, 0, 0, 0, // 4762-4765
0, 0, 0, 0, // 4766-4769
0, 0, 0, // 4770-4772
0, 0, // 4773-4774
0, 0, // 4775-4776
0, 0, // 4777-4778
0, 0, // 4779-4780
0, 0, 0, // 4781-4783
0, 0, 0, // 4784-4786
0, 0, 0, // 4787-4789
0, 0, 0, // 4790-4792
0, 0, 0, // 4793-4795
0, 0, 0, // 4796-4798
0, 0, 0, // 4799-4801
0, 0, 0, // 4802-4804
0, 0, 0, // 4805-4807
0, 0, 0, // 4808-4810
0, 0, 0, // 4811-4813
0, 0, 0, // 4814-4816
0, 0, 0, // 4817-4819
0, 0, // 4820-4821
0, 0, 0, // 4822-4824
0, 0, 0, // 4825-4827
0, 0, 0, // 4828-4830
0, 0, 0, // 4831-4833
0, 0, 0, // 4834-4836
0, 0, // 4837-4838
0, 0, // 4839-4840
0, 0, // 4841-4842
0, 0, // 4843-4844
0, 0, 0, // 4845-4847
0, 0, 0, 0, 0, // 4848-4852
0, 0, 0, // 4853-4855
0, 0, 0, 0, 0, 0, // 4856-4861
0, 0, 0, 0, 0, 0, // 4862-4867
0, 0, 0, 0, 0, // 4868-4872
0, 0, 0, 0, 0, // 4873-4877
0, 0, 0, // 4878-4880
0, 0, 0, 0, 0, 0, 0, 0, // 4881-4888
0, 0, 0, 0, 0, 0, 0, // 4889-4895
0, 0, 0, 0, // 4896-4899
0, 0, 0, 0, // 4900-4903
0, 0, 0, 0, // 4904-4907
0, 0, 0, 0, 0, 0, // 4908-4913
0, 0, 0, 0, 0, 0, // 4914-4919
0, 0, 0, 0, // 4920-4923
0, 0, 0, // 4924-4926
0, 0, 0, 0, // 4927-4930
0, 0, 0, 0, 0, 0, // 4931-4936
0, 0, 0, 0, 0, 0, 0, 0, // 4937-4944
0, 0, 0, // 4945-4947
0, 0, 0, 0, 0, // 4948-4952
0, 0, 0, 0, 0, 0, 0, // 4953-4959
0, 0, 0, 0, 0, 0, 0, // 4960-4966
0, 0, 0, 0, 0, // 4967-4971
0, 0, 0, 0, 0, // 4972-4976
0, 0, 0, 0, 0, 0, 0, // 4977-4983
0, 0, 0, 0, 0, 0, // 4984-4989
0, 0, 0, 0, 0, 0, 0, 0, // 4990-4997
0, 0, 0, 0, 0, 0, 0, 0, // 4998-5005
0, 0, 0, 0, 0, 0, // 5006-5011
0, 0, 0, 0, 0, 0, 0, 0, // 5012-5019
0, 0, 0, 0, 0, // 5020-5024
0, 0, 0, 0, // 5025-5028
0, 0, // 5029-5030
0, 0, // 5031-5032
0, 0, 0, // 5033-5035
0, 0, 0, // 5036-5038
0, 0, 0, 0, // 5039-5042
0, 0, 0, 0, 0, // 5043-5047
0, 0, 0, 0, 0, 0, // 5048-5053
0, 0, 0, 0, // 5054-5057
0, 0, 0, 0, 0, // 5058-5062
0, 0, 0, 0, 0, 0, // 5063-5068
0, 0, 0, 0, 0, 0, 0, // 5069-5075
0, 0, // 5076-5077
0, 0, // 5078-5079
0, 0, 0, 0, // 5080-5083
0, 0, 0, 0, // 5084-5087
0, 0, 0, 0, // 5088-5091
0, 0, // 5092-5093
0, 0, // 5094-5095
0, 0, // 5096-5097
0, // 5098
0, 0, // 5099-5100
0, 0, // 5101-5102
0, 0, // 5103-5104
0, 0, 0, // 5105-5107
0, 0, 0, // 5108-5110
0, 0, 0, 0, // 5111-5114
0, 0, // 5115-5116
0, 0, // 5117-5118
0, 0, 0, // 5119-5121
0, 0, 0, // 5122-5124
0, 0, // 5125-5126
0, 0, 0, // 5127-5129
0, 0, 0, // 5130-5132
0, 0, 0, 0, // 5133-5136
0, 0, // 5137-5138
0, 0, 0, // 5139-5141
0, 0, 0, // 5142-5144
0, 0, 0, 0, // 5145-5148
0, 0, 0, // 5149-5151
0, 0, 0, // 5152-5154
0, 0, // 5155-5156
0, 0, 0, // 5157-5159
0, 0, 0, 0, // 5160-5163
0, 0, // 5164-5165
0, // 5166
0, 0, // 5167-5168
0, 0, // 5169-5170
0, 0, 0, // 5171-5173
0, 0, 0, // 5174-5176
0, 0, 0, // 5177-5179
0, 0, 0, // 5180-5182
0, 0, 0, // 5183-5185
0, 0, 0, // 5186-5188
0, 0, // 5189-5190
0, 0, // 5191-5192
0, 0, 0, // 5193-5195
0, 0, 0, 0, // 5196-5199
0, 0, 0, 0, // 5200-5203
0, 0, // 5204-5205
0, 0, 0, // 5206-5208
0, 0, 0, // 5209-5211
0, 0, 0, // 5212-5214
0, 0, // 5215-5216
0, 0, // 5217-5218
0, // 5219
0, // 5220
0, // 5221
0, 0, // 5222-5223
0, 0, 0, // 5224-5226
0, 0, 0, 0, // 5227-5230
0, 0, 0, 0, // 5231-5234
0, 0, 0, 0, // 5235-5238
0, 0, 0, 0, // 5239-5242
0, 0, 0, // 5243-5245
0, 0, 0, 0, // 5246-5249
0, 0, 0, // 5250-5252
0, 0, 0, // 5253-5255
0, 0, 0, // 5256-5258
0, 0, 0, 0, // 5259-5262
0, 0, // 5263-5264
0, 0, // 5265-5266
0, 0, // 5267-5268
0, // 5269
0, // 5270
0, // 5271
0, 0, // 5272-5273
0, 0, // 5274-5275
0, 0, 0, // 5276-5278
0, 0, 0, // 5279-5281
0, 0, 0, // 5282-5284
0, 0, 0, // 5285-5287
0, 0, 0, 0, // 5288-5291
0, 0, 0, // 5292-5294
0, 0, 0, // 5295-5297
0, 0, 0, 0, // 5298-5301
0, 0, 0, 0, // 5302-5305
0, 0, 0, 0, // 5306-5309
0, 0, 0, // 5310-5312
0, 0, 0, 0, // 5313-5316
0, 0, 0, 0, // 5317-5320
0, 0, 0, 0, // 5321-5324
0, 0, 0, 0, // 5325-5328
0, 0, 0, 0, // 5329-5332
0, 0, 0, // 5333-5335
0, 0, 0, // 5336-5338
0, 0, 0, // 5339-5341
0, 0, // 5342-5343
0, 0, // 5344-5345
0, 0, 0, // 5346-5348
0, 0, // 5349-5350
0, 0, 0, // 5351-5353
0, 0, 0, // 5354-5356
0, 0, 0, // 5357-5359
0, 0, 0, // 5360-5362
0, 0, 0, // 5363-5365
0, 0, // 5366-5367
0, 0, 0, // 5368-5370
0, 0, 0, // 5371-5373
0, 0, 0, 0, // 5374-5377
0, 0, 0, // 5378-5380
0, 0, 0, 0, // 5381-5384
0, 0, 0, // 5385-5387
0, 0, 0, 0, // 5388-5391
0, 0, 0, // 5392-5394
0, 0, 0, // 5395-5397
0, 0, // 5398-5399
0, 0, // 5400-5401
0, 0, 0, // 5402-5404
0, 0, // 5405-5406
0, 0, // 5407-5408
0, 0, 0, // 5409-5411
0, 0, 0, 0, // 5412-5415
0, 0, 0, 0, // 5416-5419
0, 0, 0, 0, 0, // 5420-5424
0, 0, 0, 0, 0, // 5425-5429
0, 0, 0, 0, 0, // 5430-5434
0, 0, 0, // 5435-5437
0, 0, 0, // 5438-5440
0, 0, 0, // 5441-5443
0, 0, 0, // 5444-5446
0, 0, 0, // 5447-5449
0, 0, 0, // 5450-5452
0, 0, // 5453-5454
0, 0, 0, // 5455-5457
0, 0, // 5458-5459
0, 0, 0, // 5460-5462
0, 0, 0, // 5463-5465
0, 0, 0, // 5466-5468
0, 0, 0, 0, // 5469-5472
0, 0, 0, // 5473-5475
0, 0, 0, 0, // 5476-5479
0, 0, 0, // 5480-5482
0, 0, 0, // 5483-5485
0, 0, 0, // 5486-5488
0, 0, 0, 0, // 5489-5492
0, 0, // 5493-5494
0, 0, // 5495-5496
0, 0, 0, // 5497-5499
0, 0, 0, // 5500-5502
0, 0, 0, 0, // 5503-5506
0, 0, 0, 0, // 5507-5510
0, // 5511
0, 0, // 5512-5513
0, 0, // 5514-5515
0, 0, 0, // 5516-5518
0, 0, 0, // 5519-5521
0, 0, 0, // 5522-5524
0, 0, 0, // 5525-5527
0, 0, // 5528-5529
0, 0, // 5530-5531
0, 0, 0, // 5532-5534
0, 0, 0, // 5535-5537
0, 0, 0, // 5538-5540
0, 0, 0, // 5541-5543
0, 0, 0, // 5544-5546
0, 0, 0, // 5547-5549
0, // 5550
0, 0, 0, // 5551-5553
0, 0, 0, // 5554-5556
0, 0, 0, // 5557-5559
0, 0, 0, // 5560-5562
0, 0, 0, // 5563-5565
0, 0, 0, // 5566-5568
0, 0, // 5569-5570
0, 0, // 5571-5572
0, 0, 0, 0, // 5573-5576
0, 0, 0, 0, // 5577-5580
0, 0, 0, // 5581-5583
0, 0, 0, // 5584-5586
0, 0, 0, // 5587-5589
0, 0, 0, // 5590-5592
0, 0, 0, // 5593-5595
0, 0, 0, // 5596-5598
0, 0, 0, // 5599-5601
0, 0, 0, // 5602-5604
0, 0, // 5605-5606
0, 0, // 5607-5608
0, 0, // 5609-5610
0, 0, // 5611-5612
0, 0, // 5613-5614
0, 0, // 5615-5616
0, 0, 0, // 5617-5619
0, 0, 0, // 5620-5622
0, 0, // 5623-5624
0, 0, // 5625-5626
0, 0, 0, // 5627-5629
0, 0, 0, // 5630-5632
0, 0, 0, // 5633-5635
0, 0, 0, // 5636-5638
0, 0, 0, // 5639-5641
0, 0, 0, 0, // 5642-5645
0, 0, 0, 0, // 5646-5649
0, 0, 0, 0, 0, // 5650-5654
0, 0, 0, 0, 0, // 5655-5659
0, 0, 0, 0, 0, 0, // 5660-5665
0, 0, 0, 0, 0, 0, // 5666-5671
0, 0, 0, 0, 0, 0, 0, // 5672-5678
0, 0, 0, 0, // 5679-5682
0, 0, 0, 0, // 5683-5686
0, 0, 0, 0, // 5687-5690
0, 0, // 5691-5692
0, 0, // 5693-5694
0, 0, // 5695-5696
0, 0, // 5697-5698
0, 0, 0, // 5699-5701
0, 0, 0, // 5702-5704
0, 0, 0, // 5705-5707
0, 0, 0, // 5708-5710
0, 0, 0, // 5711-5713
0, 0, 0, // 5714-5716
0, 0, 0, // 5717-5719
0, 0, 0, // 5720-5722
0, 0, 0, // 5723-5725
0, 0, 0, // 5726-5728
0, 0, 0, // 5729-5731
0, 0, 0, // 5732-5734
0, 0, 0, // 5735-5737
0, 0, 0, // 5738-5740
0, 0, 0, // 5741-5743
0, 0, 0, // 5744-5746
0, 0, 0, // 5747-5749
0, 0, 0, // 5750-5752
0, 0, 0, 0, // 5753-5756
0, 0, 0, 0, // 5757-5760
0, 0, 0, 0, // 5761-5764
0, 0, 0, 0, // 5765-5768
0, 0, 0, 0, // 5769-5772
0, 0, 0, 0, // 5773-5776
0, 0, 0, 0, // 5777-5780
0, 0, 0, 0, // 5781-5784
0, 0, 0, 0, // 5785-5788
0, 0, 0, 0, // 5789-5792
0, 0, 0, 0, // 5793-5796
0, 0, 0, 0, // 5797-5800
0, 0, // 5801-5802
0, 0, // 5803-5804
0, 0, // 5805-5806
0, 0, // 5807-5808
0, 0, // 5809-5810
0, 0, // 5811-5812
0, 0, // 5813-5814
0, 0, // 5815-5816
0, // 5817
0, 0, // 5818-5819
0, 0, // 5820-5821
0, 0, 0, // 5822-5824
0, 0, // 5825-5826
0, 0, // 5827-5828
0, 0, // 5829-5830
0, 0, // 5831-5832
0, 0, // 5833-5834
0, 0, // 5835-5836
0, 0, // 5837-5838
0, 0, // 5839-5840
0, 0, 0, // 5841-5843
0, 0, 0, // 5844-5846
0, 0, 0, // 5847-5849
0, 0, 0, // 5850-5852
0, 0, // 5853-5854
0, 0, // 5855-5856
0, // 5857
0, // 5858
0, // 5859
0, 0, // 5860-5861
0, 0, // 5862-5863
0, 0, // 5864-5865
0, 0, // 5866-5867
0, 0, 0, 0, // 5868-5871
0, 0, 0, 0, // 5872-5875
0, 0, 0, 0, // 5876-5879
0, 0, 0, 0, 0, // 5880-5884
0, 0, 0, 0, // 5885-5888
0, 0, 0, 0, 0, // 5889-5893
0, 0, // 5894-5895
0, 0, 0, // 5896-5898
0, 0, 0, // 5899-5901
0, 0, 0, 0, // 5902-5905
0, 0, 0, 0, // 5906-5909
0, 0, 0, 0, // 5910-5913
0, 0, 0, 0, 0, // 5914-5918
0, 0, 0, 0, // 5919-5922
0, 0, 0, // 5923-5925
0, 0, 0, 0, 0, // 5926-5930
0, 0, 0, 0, 0, 0, // 5931-5936
0, 0, 0, 0, 0, 0, // 5937-5942
0, 0, 0, 0, // 5943-5946
0, 0, 0, 0, // 5947-5950
0, 0, 0, 0, 0, // 5951-5955
0, 0, 0, 0, 0, // 5956-5960
0, 0, 0, 0, 0, // 5961-5965
0, 0, 0, 0, 0, // 5966-5970
0, 0, 0, 0, 0, 0, // 5971-5976
0, 0, 0, 0, 0, 0, // 5977-5982
0, 0, // 5983-5984
0, 0, // 5985-5986
0, 0, 0, 0, // 5987-5990
0, 0, 0, 0, // 5991-5994
0, 0, 0, 0, // 5995-5998
0, 0, 0, 0, // 5999-6002
0, 0, 0, 0, 0, 0, // 6003-6008
0, 0, 0, // 6009-6011
0, 0, 0, 0, 0, 0, // 6012-6017
0, 0, 0, 0, 0, 0, 0, 0, // 6018-6025
0, 0, 0, 0, 0, // 6026-6030
0, 0, 0, 0, 0, 0, 0, 0, // 6031-6038
0, 0, 0, 0, // 6039-6042
0, 0, 0, 0, 0, 0, 0, 0, // 6043-6050
0, 0, 0, 0, 0, 0, // 6051-6056
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 6057-6066
0, 0, 0, 0, 0, 0, // 6067-6072
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 6073-6082
0, 0, 0, 0, 0, // 6083-6087
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 6088-6097
0, 0, 0, 0, 0, // 6098-6102
0, 0, 0, 0, 0, 0, 0, // 6103-6109
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 6110-6121
0, 0, 0, 0, 0, 0, 0, // 6122-6128
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 6129-6140
0, 0, 0, // 6141-6143
0, 0, 0, 0, // 6144-6147
0, 0, 0, // 6148-6150
0, 0, 0, 0, // 6151-6154
0, 0, 0, 0, 0, // 6155-6159
0, 0, 0, 0, 0, // 6160-6164
0, 0, 0, 0, 0, 0, 0, // 6165-6171
0, 0, 0, 0, 0, 0, // 6172-6177
0, 0, 0, 0, 0, 0, // 6178-6183
0, 0, 0, 0, 0, 0, 0, 0, // 6184-6191
0, 0, 0, 0, // 6192-6195
0, 0, 0, 0, // 6196-6199
0, 0, 0, // 6200-6202
0, 0, 0, 0, 0, 0, // 6203-6208
0, 0, 0, // 6209-6211
0, 0, 0, 0, 0, 0, 0, 0, // 6212-6219
0, 0, 0, 0, 0, // 6220-6224
0, 0, 0, 0, 0, 0, 0, // 6225-6231
0, 0, 0, 0, 0, 0, // 6232-6237
0, 0, 0, 0, 0, 0, 0, 0, // 6238-6245
0, 0, 0, // 6246-6248
0, 0, 0, 0, 0, // 6249-6253
0, 0, 0, 0, // 6254-6257
0, 0, 0, 0, 0, 0, // 6258-6263
0, 0, 0, 0, 0, 0, // 6264-6269
0, 0, 0, 0, 0, // 6270-6274
0, 0, 0, 0, 0, // 6275-6279
0, 0, 0, 0, 0, 0, 0, // 6280-6286
0, 0, 0, 0, 0, 0, 0, // 6287-6293
0, 0, 0, 0, 0, 0, // 6294-6299
0, 0, 0, 0, 0, 0, 0, 0, // 6300-6307
0, 0, 0, 0, 0, 0, 0, 0, // 6308-6315
0, 0, 0, // 6316-6318
0, 0, // 6319-6320
0, 0, 0, // 6321-6323
0, 0, // 6324-6325
0, // 6326
0, // 6327
0, 0, 0, // 6328-6330
0, 0, // 6331-6332
0, // 6333
0, 0, // 6334-6335
0, 0, // 6336-6337
0, 0, // 6338-6339
0, // 6340
0, // 6341
0, 0, // 6342-6343
0, 0, // 6344-6345
0, 0, 0, // 6346-6348
0, 0, 0, // 6349-6351
0, 0, 0, 0, // 6352-6355
0, 0, 0, // 6356-6358
0, 0, 0, // 6359-6361
0, 0, 0, // 6362-6364
0, 0, 0, // 6365-6367
0, 0, 0, 0, // 6368-6371
0, 0, 0, 0, // 6372-6375
0, 0, // 6376-6377
0, // 6378
0, 0, 0, // 6379-6381
0, // 6382
0, 0, // 6383-6384
0, 0, 0, // 6385-6387
0, 0, 0, // 6388-6390
0, 0, // 6391-6392
0, 0, // 6393-6394
0, // 6395
0, 0, // 6396-6397
0, 0, 0, // 6398-6400
0, 0, 0, // 6401-6403
0, 0, 0, // 6404-6406
0, 0, 0, // 6407-6409
0, 0, // 6410-6411
0, 0, // 6412-6413
0, 0, // 6414-6415
0, 0, // 6416-6417
0, 0, 0, // 6418-6420
0, 0, 0, // 6421-6423
0, 0, 0, // 6424-6426
0, 0, 0, // 6427-6429
0, 0, 0, // 6430-6432
0, 0, 0, // 6433-6435
0, // 6436
0, // 6437
0, 0, 0, 0, // 6438-6441
0, 0, 0, // 6442-6444
0, 0, 0, // 6445-6447
0, 0, 0, // 6448-6450
0, 0, 0, // 6451-6453
0, 0, 0, // 6454-6456
0, 0, 0, // 6457-6459
0, 0, 0, // 6460-6462
0, 0, 0, // 6463-6465
0, 0, 0, // 6466-6468
0, // 6469
0, // 6470
0, 0, 0, // 6471-6473
0, 0, 0, // 6474-6476
0, 0, 0, // 6477-6479
0, 0, 0, // 6480-6482
0, 0, 0, // 6483-6485
0, 0, 0, // 6486-6488
0, 0, 0, // 6489-6491
0, 0, 0, // 6492-6494
0, 0, // 6495-6496
0, 0, 0, 0, // 6497-6500
0, 0, 0, 0, // 6501-6504
0, 0, 0, // 6505-6507
0, 0, 0, // 6508-6510
0, 0, 0, // 6511-6513
0, 0, 0, // 6514-6516
0, 0, // 6517-6518
0, 0, // 6519-6520
0, 0, 0, // 6521-6523
0, 0, 0, // 6524-6526
0, 0, 0, // 6527-6529
0, 0, 0, // 6530-6532
0, 0, 0, // 6533-6535
0, 0, // 6536-6537
0, 0, // 6538-6539
0, 0, 0, 0, // 6540-6543
0, 0, 0, 0, // 6544-6547
0, 0, 0, // 6548-6550
0, 0, 0, // 6551-6553
0, 0, 0, // 6554-6556
0, 0, 0, // 6557-6559
0, 0, 0, // 6560-6562
0, 0, 0, // 6563-6565
0, 0, 0, // 6566-6568
0, 0, 0, // 6569-6571
0, 0, 0, 0, 0, // 6572-6576
0, 0, 0, 0, 0, 0, // 6577-6582
0, 0, 0, 0, 0, 0, // 6583-6588
0, 0, 0, // 6589-6591
0, 0, 0, 0, 0, // 6592-6596
0, 0, 0, 0, 0, 0, // 6597-6602
0, 0, 0, 0, // 6603-6606
0, 0, 0, 0, // 6607-6610
0, 0, 0, 0, // 6611-6614
0, 0, 0, 0, 0, 0, // 6615-6620
0, 0, 0, 0, 0, 0, // 6621-6626
0, 0, 0, 0, 0, // 6627-6631
0, 0, 0, 0, 0, // 6632-6636
0, 0, 0, 0, 0, // 6637-6641
0, 0, 0, 0, 0, // 6642-6646
0, 0, 0, 0, 0, 0, 0, // 6647-6653
0, 0, 0, 0, 0, 0, 0, // 6654-6660
0, 0, 0, 0, 0, 0, 0, // 6661-6667
0, 0, 0, 0, 0, 0, // 6668-6673
0, 0, 0, 0, 0, 0, // 6674-6679
0, 0, 0, 0, 0, 0, // 6680-6685
0, 0, 0, 0, 0, 0, // 6686-6691
0, 0, 0, 0, 0, 0, // 6692-6697
0, 0, 0, 0, 0, 0, 0, 0, // 6698-6705
0, 0, 0, 0, 0, 0, 0, 0, // 6706-6713
0, 0, 0, 0, 0, 0, 0, 0, // 6714-6721
0, // 6722
0, 0, // 6723-6724
0, // 6725
0, 0, // 6726-6727
0, 0, // 6728-6729
0, 0, 0, // 6730-6732
0, 0, // 6733-6734
0, 0, // 6735-6736
0, 0, // 6737-6738
0, // 6739
0, 0, // 6740-6741
0, 0, 0, // 6742-6744
0, 0, 0, // 6745-6747
0, 0, 0, // 6748-6750
0, 0, 0, // 6751-6753
0, 0, 0, // 6754-6756
0, 0, 0, // 6757-6759
0, 0, 0, // 6760-6762
0, 0, 0, // 6763-6765
0, 0, // 6766-6767
0, 0, // 6768-6769
0, 0, // 6770-6771
0, 0, // 6772-6773
0, 0, // 6774-6775
0, 0, 0, // 6776-6778
0, 0, 0, 0, // 6779-6782
0, 0, 0, // 6783-6785
0, 0, 0, // 6786-6788
0, 0, 0, 0, // 6789-6792
0, 0, // 6793-6794
0, 0, // 6795-6796
0, 0, 0, // 6797-6799
0, 0, 0, // 6800-6802
0, 0, 0, // 6803-6805
0, 0, 0, 0, // 6806-6809
0, 0, 0, 0, // 6810-6813
0, 0, 0, 0, // 6814-6817
0, 0, 0, 0, // 6818-6821
0, 0, 0, // 6822-6824
0, 0, 0, // 6825-6827
0, 0, 0, // 6828-6830
0, 0, 0, 0, // 6831-6834
0, 0, 0, 0, // 6835-6838
0, 0, 0, 0, // 6839-6842
0, 0, 0, 0, // 6843-6846
0, 0, 0, 0, // 6847-6850
0, 0, 0, 0, // 6851-6854
0, 0, 0, // 6855-6857
0, 0, 0, 0, // 6858-6861
0, 0, 0, 0, // 6862-6865
0, 0, 0, 0, // 6866-6869
0, 0, 0, 0, // 6870-6873
0, 0, // 6874-6875
0, 0, // 6876-6877
0, 0, 0, // 6878-6880
0, 0, // 6881-6882
0, 0, 0, // 6883-6885
0, 0, 0, // 6886-6888
0, 0, 0, 0, // 6889-6892
0, 0, 0, 0, // 6893-6896
0, 0, 0, // 6897-6899
0, 0, 0, // 6900-6902
0, 0, 0, 0, // 6903-6906
0, 0, 0, 0, // 6907-6910
0, 0, 0, 0, // 6911-6914
0, 0, 0, 0, // 6915-6918
0, 0, 0, 0, // 6919-6922
0, 0, 0, 0, // 6923-6926
0, 0, 0, // 6927-6929
0, 0, 0, 0, // 6930-6933
0, 0, // 6934-6935
0, 0, // 6936-6937
0, 0, 0, // 6938-6940
0, 0, // 6941-6942
0, 0, // 6943-6944
0, 0, 0, 0, // 6945-6948
0, 0, 0, 0, 0, // 6949-6953
0, 0, 0, // 6954-6956
0, 0, 0, // 6957-6959
0, // 6960
0, 0, 0, // 6961-6963
0, 0, 0, // 6964-6966
0, 0, 0, // 6967-6969
0, 0, 0, // 6970-6972
0, 0, 0, // 6973-6975
0, 0, 0, 0, // 6976-6979
0, 0, 0, 0, // 6980-6983
0, 0, 0, 0, // 6984-6987
0, 0, 0, 0, // 6988-6991
0, 0, 0, 0, // 6992-6995
0, 0, 0, 0, // 6996-6999
0, 0, 0, // 7000-7002
0, 0, 0, // 7003-7005
0, 0, 0, // 7006-7008
0, 0, 0, // 7009-7011
0, 0, 0, // 7012-7014
0, 0, 0, // 7015-7017
0, 0, 0, // 7018-7020
0, 0, 0, 0, // 7021-7024
0, 0, 0, 0, // 7025-7028
0, 0, // 7029-7030
0, 0, // 7031-7032
0, 0, 0, // 7033-7035
0, 0, 0, // 7036-7038
0, // 7039
0, 0, 0, 0, // 7040-7043
0, 0, 0, // 7044-7046
0, 0, 0, 0, 0, 0, // 7047-7052
0, 0, 0, 0, 0, 0, 0, 0, // 7053-7060
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 7061-7070
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 7071-7080
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 7081-7090
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 7091-7102
0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, // 7103-7114
0, 0, 0, 0, 0, // 7115-7119
0, 0, 0, 0, 0, // 7120-7124
0, 0, 0, 0, 0, // 7125-7129
0, 0, // 7130-7131
0, 0, // 7132-7133
0, // 7134
0, 0, // 7135-7136
0, // 7137
0, 0, 0, 0, // 7138-7141
0, 0, // 7142-7143
0, 0, 0, // 7144-7146
0, 0, // 7147-7148
0, 0, 0, 0, 0, // 7149-7153
0, 0, 0, 0, // 7154-7157
0, 0, 0, // 7158-7160
0, 0, 0, 0, // 7161-7164
0, 0, 0, 0, 0, // 7165-7169
0, 0, 0, 0, 0, // 7170-7174
0, 0, 0, 0, 0, 0, // 7175-7180
0, // 7181
0 // End bypass tables
};
static const llvm::InstrItinerary ARMV6Itineraries[] = {
{ 0, 0, 0, 0, 0 }, // 0 NoInstrModel
{ 1, 1, 2, 1, 3 }, // 1 IIC_iALUi_WriteALU_ReadALU
{ 1, 1, 2, 3, 6 }, // 2 IIC_iALUr_WriteALU_ReadALU_ReadALU
{ 1, 2, 3, 6, 10 }, // 3 IIC_iALUsr_WriteALUsi_ReadALU
{ 1, 2, 3, 10, 14 }, // 4 IIC_iALUsr_WriteALUSsr_ReadALUsr
{ 1, 1, 2, 0, 0 }, // 5 IIC_Br_WriteBr
{ 1, 1, 2, 0, 0 }, // 6 IIC_Br_WriteBrTbl
{ 1, 3, 5, 14, 19 }, // 7 IIC_iLoad_mBr
{ 1, 1, 2, 19, 21 }, // 8 IIC_iLoad_i
{ 1, 5, 7, 21, 23 }, // 9 IIC_iLoadiALU
{ 1, 2, 3, 23, 27 }, // 10 IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 1, 1, 2, 27, 28 }, // 11 IIC_iCMOVi_WriteALU
{ 1, 1, 2, 28, 29 }, // 12 IIC_iMOVi_WriteALU
{ 1, 5, 7, 29, 30 }, // 13 IIC_iCMOVix2
{ 1, 1, 2, 30, 32 }, // 14 IIC_iCMOVr_WriteALU
{ 1, 1, 2, 32, 35 }, // 15 IIC_iCMOVsr_WriteALU
{ 1, 7, 10, 35, 36 }, // 16 IIC_iMOVix2addpc
{ 1, 7, 10, 36, 37 }, // 17 IIC_iMOVix2ld
{ 1, 5, 7, 37, 38 }, // 18 IIC_iMOVix2
{ 1, 1, 2, 38, 40 }, // 19 IIC_iMOVsi_WriteALU
{ 1, 2, 3, 40, 43 }, // 20 IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL
{ 1, 1, 2, 43, 46 }, // 21 IIC_iALUr_WriteALU_ReadALU
{ 1, 1, 2, 46, 49 }, // 22 IIC_iLoad_r
{ 1, 1, 2, 49, 52 }, // 23 IIC_iLoad_bh_r
{ 1, 1, 2, 52, 55 }, // 24 IIC_iStore_r
{ 1, 1, 2, 55, 58 }, // 25 IIC_iStore_bh_r
{ 1, 10, 11, 58, 62 }, // 26 IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 1, 10, 11, 62, 65 }, // 27 IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 1, 1, 2, 65, 69 }, // 28 IIC_iStore_ru
{ 1, 1, 2, 0, 0 }, // 29 IIC_Br
{ 0, 0, 0, 0, 0 }, // 30 IIC_VMOVImm
{ 1, 1, 2, 69, 71 }, // 31 IIC_fpUNA64
{ 0, 0, 0, 0, 0 }, // 32 IIC_fpUNA16
{ 1, 1, 2, 71, 73 }, // 33 IIC_fpUNA32
{ 1, 1, 2, 73, 76 }, // 34 IIC_iALUsi_WriteALUsi_ReadALUsr
{ 1, 1, 2, 76, 78 }, // 35 IIC_iCMOVsi_WriteALU
{ 1, 1, 2, 78, 81 }, // 36 IIC_iALUsi_WriteALUsi_ReadALU
{ 1, 1, 2, 81, 85 }, // 37 IIC_iStore_ru_WriteST
{ 1, 1, 2, 85, 88 }, // 38 IIC_iALUr_WriteALU
{ 1, 1, 2, 88, 90 }, // 39 IIC_iALUi_WriteALU
{ 1, 10, 11, 90, 95 }, // 40 IIC_iLoad_mu
{ 1, 3, 5, 95, 98 }, // 41 IIC_iPop_Br_WriteBrL
{ 1, 2, 3, 98, 102 }, // 42 IIC_iALUsr_WriteALUsr_ReadALUsr
{ 1, 1, 2, 102, 104 }, // 43 IIC_iBITi_WriteALU_ReadALU
{ 1, 1, 2, 104, 107 }, // 44 IIC_iBITr_WriteALU_ReadALU_ReadALU
{ 1, 2, 3, 107, 111 }, // 45 IIC_iBITsr_WriteALUsi_ReadALU
{ 1, 2, 3, 111, 115 }, // 46 IIC_iBITsr_WriteALUsr_ReadALUsr
{ 1, 1, 2, 115, 117 }, // 47 IIC_iUNAsi
{ 1, 1, 2, 0, 0 }, // 48 IIC_Br_WriteBrL
{ 0, 0, 0, 0, 0 }, // 49 WriteBrL
{ 0, 0, 0, 0, 0 }, // 50 WriteBr
{ 1, 1, 2, 117, 119 }, // 51 IIC_iUNAr_WriteALU
{ 1, 1, 2, 119, 120 }, // 52 IIC_iCMPi_WriteCMP_ReadALU
{ 1, 1, 2, 120, 122 }, // 53 IIC_iCMPr_WriteCMP_ReadALU_ReadALU
{ 1, 2, 3, 122, 125 }, // 54 IIC_iCMPsr_WriteCMPsi_ReadALU
{ 1, 2, 3, 125, 128 }, // 55 IIC_iCMPsr_WriteCMPsr_ReadALU
{ 1, 1, 2, 128, 129 }, // 56 IIC_fpSTAT
{ 1, 10, 11, 129, 134 }, // 57 IIC_iLoad_m
{ 1, 1, 2, 134, 138 }, // 58 IIC_iLoad_bh_ru
{ 1, 1, 2, 138, 141 }, // 59 IIC_iLoad_bh_iu
{ 1, 2, 3, 141, 144 }, // 60 IIC_iLoad_bh_si
{ 1, 1, 2, 144, 147 }, // 61 IIC_iLoad_d_r
{ 1, 1, 2, 147, 151 }, // 62 IIC_iLoad_d_ru
{ 1, 1, 2, 151, 155 }, // 63 IIC_iLoad_ru
{ 1, 1, 2, 155, 158 }, // 64 IIC_iLoad_iu
{ 1, 2, 3, 158, 161 }, // 65 IIC_iLoad_si
{ 1, 1, 2, 161, 163 }, // 66 IIC_iMOVr_WriteALU
{ 1, 2, 3, 163, 166 }, // 67 IIC_iMOVsr_WriteALU
{ 1, 1, 2, 166, 167 }, // 68 IIC_iMVNi_WriteALU
{ 1, 1, 2, 167, 169 }, // 69 IIC_iMVNr_WriteALU
{ 1, 2, 3, 169, 172 }, // 70 IIC_iMVNsr_WriteALU
{ 1, 1, 2, 172, 175 }, // 71 IIC_iBITsi_WriteALUsi_ReadALU
{ 0, 0, 0, 0, 0 }, // 72 IIC_Preload_WritePreLd
{ 0, 0, 0, 0, 0 }, // 73 IIC_iDIV_WriteDIV
{ 1, 1, 2, 175, 179 }, // 74 IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 75 WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 76 WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 0, 0, 0, 0, 0 }, // 77 WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 78 WriteMUL32_ReadMUL_ReadMUL
{ 1, 1, 2, 179, 182 }, // 79 IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL
{ 1, 10, 11, 0, 0 }, // 80 IIC_iStore_m
{ 1, 10, 11, 182, 183 }, // 81 IIC_iStore_mu
{ 1, 1, 2, 183, 187 }, // 82 IIC_iStore_bh_ru
{ 1, 1, 2, 187, 190 }, // 83 IIC_iStore_bh_iu
{ 1, 2, 3, 190, 193 }, // 84 IIC_iStore_bh_si
{ 1, 1, 2, 193, 196 }, // 85 IIC_iStore_d_r
{ 1, 1, 2, 196, 200 }, // 86 IIC_iStore_d_ru
{ 1, 1, 2, 200, 203 }, // 87 IIC_iStore_iu
{ 1, 2, 3, 203, 206 }, // 88 IIC_iStore_si
{ 1, 1, 2, 206, 209 }, // 89 IIC_iEXTAr_WriteALUsr
{ 1, 1, 2, 209, 211 }, // 90 IIC_iEXTr_WriteALUsi
{ 1, 1, 2, 211, 212 }, // 91 IIC_iTSTi_WriteCMP_ReadALU
{ 1, 1, 2, 212, 214 }, // 92 IIC_iTSTr_WriteCMP_ReadALU_ReadALU
{ 1, 2, 3, 214, 217 }, // 93 IIC_iTSTsr_WriteCMPsi_ReadALU
{ 1, 2, 3, 217, 220 }, // 94 IIC_iTSTsr_WriteCMPsr_ReadALU
{ 1, 10, 11, 220, 223 }, // 95 IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 96 WriteALU_ReadALU_ReadALU
{ 0, 0, 0, 0, 0 }, // 97 IIC_VABAD
{ 0, 0, 0, 0, 0 }, // 98 IIC_VABAQ
{ 0, 0, 0, 0, 0 }, // 99 IIC_VSUBi4Q
{ 0, 0, 0, 0, 0 }, // 100 IIC_VBIND
{ 0, 0, 0, 0, 0 }, // 101 IIC_VBINQ
{ 0, 0, 0, 0, 0 }, // 102 IIC_VSUBi4D
{ 0, 0, 0, 0, 0 }, // 103 IIC_VUNAD
{ 0, 0, 0, 0, 0 }, // 104 IIC_VUNAQ
{ 0, 0, 0, 0, 0 }, // 105 IIC_VUNAiQ
{ 0, 0, 0, 0, 0 }, // 106 IIC_VUNAiD
{ 1, 1, 2, 223, 226 }, // 107 IIC_fpALU64_WriteFPALU64
{ 0, 0, 0, 0, 0 }, // 108 IIC_fpALU16_WriteFPALU32
{ 0, 0, 0, 0, 0 }, // 109 IIC_VBINi4D
{ 0, 0, 0, 0, 0 }, // 110 IIC_VSHLiD
{ 1, 1, 2, 226, 229 }, // 111 IIC_fpALU32_WriteFPALU32
{ 0, 0, 0, 0, 0 }, // 112 IIC_VSUBiD
{ 0, 0, 0, 0, 0 }, // 113 IIC_VBINiQ
{ 0, 0, 0, 0, 0 }, // 114 IIC_VBINiD
{ 0, 0, 0, 0, 0 }, // 115 IIC_VCNTiD
{ 0, 0, 0, 0, 0 }, // 116 IIC_VCNTiQ
{ 0, 0, 0, 0, 0 }, // 117 IIC_VMACD
{ 0, 0, 0, 0, 0 }, // 118 IIC_VMACQ
{ 1, 1, 2, 229, 231 }, // 119 IIC_fpCMP64
{ 0, 0, 0, 0, 0 }, // 120 IIC_fpCMP16
{ 1, 1, 2, 231, 233 }, // 121 IIC_fpCMP32
{ 0, 0, 0, 0, 0 }, // 122 WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 123 IIC_fpCVTSH_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 124 IIC_fpCVTHS_WriteFPCVT
{ 1, 1, 2, 233, 235 }, // 125 IIC_fpCVTDS_WriteFPCVT
{ 1, 1, 2, 235, 237 }, // 126 IIC_fpCVTSD_WriteFPCVT
{ 1, 11, 12, 237, 240 }, // 127 IIC_fpDIV64_WriteFPDIV64
{ 0, 0, 0, 0, 0 }, // 128 IIC_fpDIV16_WriteFPDIV32
{ 1, 12, 13, 240, 243 }, // 129 IIC_fpDIV32_WriteFPDIV32
{ 0, 0, 0, 0, 0 }, // 130 IIC_VMOVIS
{ 0, 0, 0, 0, 0 }, // 131 IIC_VMOVD
{ 0, 0, 0, 0, 0 }, // 132 IIC_VMOVQ
{ 0, 0, 0, 0, 0 }, // 133 IIC_VEXTD
{ 0, 0, 0, 0, 0 }, // 134 IIC_VEXTQ
{ 1, 2, 3, 243, 247 }, // 135 IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 136 IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 1, 2, 247, 251 }, // 137 IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 138 IIC_VFMACD
{ 0, 0, 0, 0, 0 }, // 139 IIC_VFMACQ
{ 0, 0, 0, 0, 0 }, // 140 IIC_VMOVSI
{ 0, 0, 0, 0, 0 }, // 141 IIC_VBINi4Q
{ 1, 1, 2, 251, 253 }, // 142 IIC_fpCVTDI
{ 0, 0, 0, 0, 0 }, // 143 IIC_VLD1dup_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 144 IIC_VLD1dupu
{ 0, 0, 0, 0, 0 }, // 145 IIC_VLD1dup
{ 0, 0, 0, 0, 0 }, // 146 IIC_VLD1dupu_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 147 IIC_VLD1ln
{ 0, 0, 0, 0, 0 }, // 148 IIC_VLD1lnu_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 149 IIC_VLD1ln_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 150 IIC_VLD1_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 151 IIC_VLD1x4_WriteVLD4
{ 0, 0, 0, 0, 0 }, // 152 IIC_VLD1x2u_WriteVLD4
{ 0, 0, 0, 0, 0 }, // 153 IIC_VLD1x3_WriteVLD3
{ 0, 0, 0, 0, 0 }, // 154 IIC_VLD1x2u_WriteVLD3
{ 0, 0, 0, 0, 0 }, // 155 IIC_VLD1u_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 156 IIC_VLD1x2_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 157 IIC_VLD1x2u_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 158 IIC_VLD2dup
{ 0, 0, 0, 0, 0 }, // 159 IIC_VLD2dupu_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 160 IIC_VLD2dup_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 161 IIC_VLD2ln_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 162 IIC_VLD2lnu_WriteVLD1
{ 0, 0, 0, 0, 0 }, // 163 IIC_VLD2lnu
{ 0, 0, 0, 0, 0 }, // 164 IIC_VLD2_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 165 IIC_VLD2u_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 166 IIC_VLD2x2_WriteVLD4
{ 0, 0, 0, 0, 0 }, // 167 IIC_VLD2x2u_WriteVLD4
{ 0, 0, 0, 0, 0 }, // 168 IIC_VLD3dup_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 169 IIC_VLD3dupu_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 170 IIC_VLD3ln_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 171 IIC_VLD3lnu_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 172 IIC_VLD3_WriteVLD3
{ 0, 0, 0, 0, 0 }, // 173 IIC_VLD3u_WriteVLD3
{ 0, 0, 0, 0, 0 }, // 174 IIC_VLD4dup
{ 0, 0, 0, 0, 0 }, // 175 IIC_VLD4dup_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 176 IIC_VLD4dupu_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 177 IIC_VLD4ln_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 178 IIC_VLD4lnu_WriteVLD2
{ 0, 0, 0, 0, 0 }, // 179 IIC_VLD4lnu
{ 0, 0, 0, 0, 0 }, // 180 IIC_VLD4_WriteVLD4
{ 0, 0, 0, 0, 0 }, // 181 IIC_VLD4u_WriteVLD4
{ 1, 10, 11, 253, 258 }, // 182 IIC_fpLoad_mu
{ 1, 10, 11, 258, 262 }, // 183 IIC_fpLoad_m
{ 1, 1, 2, 262, 265 }, // 184 IIC_fpLoad64
{ 0, 0, 0, 0, 0 }, // 185 IIC_fpLoad16
{ 1, 1, 2, 265, 268 }, // 186 IIC_fpLoad32
{ 1, 2, 3, 268, 272 }, // 187 IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 188 IIC_fpMAC16
{ 0, 0, 0, 0, 0 }, // 189 IIC_VMACi32D
{ 0, 0, 0, 0, 0 }, // 190 IIC_VMACi16D
{ 1, 1, 2, 272, 276 }, // 191 IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 192 IIC_VMACi32Q
{ 0, 0, 0, 0, 0 }, // 193 IIC_VMACi16Q
{ 1, 1, 2, 276, 279 }, // 194 IIC_fpMOVID_WriteFPMOV
{ 1, 1, 2, 279, 281 }, // 195 IIC_fpMOVIS_WriteFPMOV
{ 0, 0, 0, 0, 0 }, // 196 IIC_VQUNAiD
{ 0, 0, 0, 0, 0 }, // 197 IIC_VMOVN
{ 1, 1, 2, 281, 283 }, // 198 IIC_fpMOVSI_WriteFPMOV
{ 1, 1, 2, 283, 286 }, // 199 IIC_fpMOVDI_WriteFPMOV
{ 1, 2, 3, 286, 289 }, // 200 IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 201 IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 202 IIC_VMULi16D
{ 0, 0, 0, 0, 0 }, // 203 IIC_VMULi32D
{ 1, 1, 2, 289, 292 }, // 204 IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 205 IIC_VFMULD
{ 0, 0, 0, 0, 0 }, // 206 IIC_VFMULQ
{ 0, 0, 0, 0, 0 }, // 207 IIC_VMULi16Q
{ 0, 0, 0, 0, 0 }, // 208 IIC_VMULi32Q
{ 0, 0, 0, 0, 0 }, // 209 IIC_VSHLiQ
{ 0, 0, 0, 0, 0 }, // 210 IIC_VPALiQ
{ 0, 0, 0, 0, 0 }, // 211 IIC_VPALiD
{ 0, 0, 0, 0, 0 }, // 212 IIC_VPBIND
{ 0, 0, 0, 0, 0 }, // 213 IIC_VQUNAiQ
{ 0, 0, 0, 0, 0 }, // 214 IIC_VSHLi4Q
{ 0, 0, 0, 0, 0 }, // 215 IIC_VSHLi4D
{ 0, 0, 0, 0, 0 }, // 216 IIC_VRECSD
{ 0, 0, 0, 0, 0 }, // 217 IIC_VRECSQ
{ 0, 0, 0, 0, 0 }, // 218 IIC_VDOTPROD
{ 0, 0, 0, 0, 0 }, // 219 IIC_VMOVISL
{ 1, 1, 2, 292, 294 }, // 220 IIC_fpCVTID_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 221 IIC_fpCVTIH_WriteFPCVT
{ 1, 1, 2, 294, 296 }, // 222 IIC_fpCVTIS_WriteFPCVT
{ 1, 11, 12, 296, 299 }, // 223 IIC_fpSQRT64_WriteFPSQRT64
{ 0, 0, 0, 0, 0 }, // 224 IIC_fpSQRT16
{ 1, 12, 13, 299, 302 }, // 225 IIC_fpSQRT32_WriteFPSQRT32
{ 0, 0, 0, 0, 0 }, // 226 IIC_VST1ln_WriteVST1
{ 0, 0, 0, 0, 0 }, // 227 IIC_VST1lnu_WriteVST1
{ 0, 0, 0, 0, 0 }, // 228 IIC_VST1_WriteVST1
{ 0, 0, 0, 0, 0 }, // 229 IIC_VST1x4_WriteVST4
{ 0, 0, 0, 0, 0 }, // 230 IIC_VLD1x4u_WriteVST4
{ 0, 0, 0, 0, 0 }, // 231 IIC_VST1x3_WriteVST3
{ 0, 0, 0, 0, 0 }, // 232 IIC_VLD1x3u_WriteVST3
{ 0, 0, 0, 0, 0 }, // 233 IIC_VLD1u_WriteVST1
{ 0, 0, 0, 0, 0 }, // 234 IIC_VST1x4u_WriteVST4
{ 0, 0, 0, 0, 0 }, // 235 IIC_VST1x3u_WriteVST3
{ 0, 0, 0, 0, 0 }, // 236 IIC_VST1x2_WriteVST2
{ 0, 0, 0, 0, 0 }, // 237 IIC_VLD1x2u_WriteVST2
{ 0, 0, 0, 0, 0 }, // 238 IIC_VST2ln_WriteVST1
{ 0, 0, 0, 0, 0 }, // 239 IIC_VST2lnu_WriteVST1
{ 0, 0, 0, 0, 0 }, // 240 IIC_VST2lnu
{ 0, 0, 0, 0, 0 }, // 241 IIC_VST2
{ 0, 0, 0, 0, 0 }, // 242 IIC_VLD1u_WriteVST2
{ 0, 0, 0, 0, 0 }, // 243 IIC_VST2_WriteVST2
{ 0, 0, 0, 0, 0 }, // 244 IIC_VST2x2_WriteVST4
{ 0, 0, 0, 0, 0 }, // 245 IIC_VST2x2u_WriteVST4
{ 0, 0, 0, 0, 0 }, // 246 IIC_VLD1u_WriteVST4
{ 0, 0, 0, 0, 0 }, // 247 IIC_VST3ln_WriteVST2
{ 0, 0, 0, 0, 0 }, // 248 IIC_VST3lnu_WriteVST2
{ 0, 0, 0, 0, 0 }, // 249 IIC_VST3lnu
{ 0, 0, 0, 0, 0 }, // 250 IIC_VST3ln
{ 0, 0, 0, 0, 0 }, // 251 IIC_VST3_WriteVST3
{ 0, 0, 0, 0, 0 }, // 252 IIC_VST3u_WriteVST3
{ 0, 0, 0, 0, 0 }, // 253 IIC_VST4ln_WriteVST2
{ 0, 0, 0, 0, 0 }, // 254 IIC_VST4lnu_WriteVST2
{ 0, 0, 0, 0, 0 }, // 255 IIC_VST4lnu
{ 0, 0, 0, 0, 0 }, // 256 IIC_VST4_WriteVST4
{ 0, 0, 0, 0, 0 }, // 257 IIC_VST4u_WriteVST4
{ 1, 10, 11, 302, 307 }, // 258 IIC_fpStore_mu
{ 1, 10, 11, 307, 311 }, // 259 IIC_fpStore_m
{ 1, 1, 2, 311, 314 }, // 260 IIC_fpStore64
{ 0, 0, 0, 0, 0 }, // 261 IIC_fpStore16
{ 1, 1, 2, 314, 317 }, // 262 IIC_fpStore32
{ 0, 0, 0, 0, 0 }, // 263 IIC_VSUBiQ
{ 0, 0, 0, 0, 0 }, // 264 IIC_VTB1
{ 0, 0, 0, 0, 0 }, // 265 IIC_VTB2
{ 0, 0, 0, 0, 0 }, // 266 IIC_VTB3
{ 0, 0, 0, 0, 0 }, // 267 IIC_VTB4
{ 0, 0, 0, 0, 0 }, // 268 IIC_VTBX1
{ 0, 0, 0, 0, 0 }, // 269 IIC_VTBX2
{ 0, 0, 0, 0, 0 }, // 270 IIC_VTBX3
{ 0, 0, 0, 0, 0 }, // 271 IIC_VTBX4
{ 1, 1, 2, 317, 319 }, // 272 IIC_fpCVTDI_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 273 IIC_fpCVTHI_WriteFPCVT
{ 1, 1, 2, 319, 321 }, // 274 IIC_fpCVTSI_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 275 IIC_VPERMD
{ 0, 0, 0, 0, 0 }, // 276 IIC_VPERMQ
{ 0, 0, 0, 0, 0 }, // 277 IIC_VPERMQ3
{ 1, 1, 2, 321, 323 }, // 278 IIC_iUNAsi_WriteALU
{ 1, 1, 2, 323, 325 }, // 279 IIC_iBITi_WriteALU
{ 1, 1, 2, 325, 327 }, // 280 IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU
{ 1, 1, 2, 327, 328 }, // 281 IIC_iCMPi_WriteCMP
{ 1, 1, 2, 328, 330 }, // 282 IIC_iCMPr_WriteCMP
{ 1, 1, 2, 330, 332 }, // 283 IIC_iCMPsi_WriteCMPsi
{ 1, 1, 2, 0, 0 }, // 284 IIC_iALUx
{ 0, 0, 0, 0, 0 }, // 285 WriteLd
{ 1, 1, 2, 332, 334 }, // 286 IIC_iLoad_bh_i_WriteLd
{ 1, 1, 2, 334, 337 }, // 287 IIC_iLoad_bh_iu_WriteLd
{ 1, 2, 3, 337, 340 }, // 288 IIC_iLoad_bh_si_WriteLd
{ 1, 1, 2, 340, 344 }, // 289 IIC_iLoad_d_ru_WriteLd
{ 1, 1, 2, 344, 346 }, // 290 IIC_iLoad_d_i_WriteLd
{ 1, 1, 2, 346, 348 }, // 291 IIC_iLoad_i_WriteLd
{ 1, 1, 2, 348, 351 }, // 292 IIC_iLoad_iu_WriteLd
{ 1, 2, 3, 351, 354 }, // 293 IIC_iLoad_si_WriteLd
{ 1, 1, 2, 354, 356 }, // 294 IIC_iMVNsi_WriteALU
{ 0, 0, 0, 0, 0 }, // 295 IIC_iALUsir_WriteALUsi_ReadALU
{ 1, 1, 2, 356, 359 }, // 296 IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 1, 2, 3, 359, 363 }, // 297 IIC_iMAC32
{ 0, 0, 0, 0, 0 }, // 298 WriteALU
{ 0, 0, 0, 0, 0 }, // 299 WriteST
{ 1, 1, 2, 363, 365 }, // 300 IIC_iStore_bh_i_WriteST
{ 1, 1, 2, 365, 368 }, // 301 IIC_iStore_bh_iu_WriteST
{ 1, 2, 3, 368, 371 }, // 302 IIC_iStore_bh_si_WriteST
{ 1, 1, 2, 371, 375 }, // 303 IIC_iStore_d_ru_WriteST
{ 1, 1, 2, 375, 378 }, // 304 IIC_iStore_d_r_WriteST
{ 1, 1, 2, 378, 381 }, // 305 IIC_iStore_iu_WriteST
{ 1, 1, 2, 381, 383 }, // 306 IIC_iStore_i_WriteST
{ 1, 2, 3, 383, 386 }, // 307 IIC_iStore_si_WriteST
{ 1, 2, 3, 386, 390 }, // 308 IIC_iEXTAsr_WriteALU_ReadALU
{ 1, 1, 2, 390, 392 }, // 309 IIC_iEXTr_WriteALU_ReadALU
{ 1, 1, 2, 392, 393 }, // 310 IIC_iTSTi_WriteCMP
{ 1, 1, 2, 393, 395 }, // 311 IIC_iTSTr_WriteCMP
{ 1, 1, 2, 395, 397 }, // 312 IIC_iTSTsi_WriteCMPsi
{ 1, 1, 2, 397, 400 }, // 313 IIC_iBITr_WriteALU
{ 1, 1, 2, 400, 403 }, // 314 IIC_iLoad_bh_r_WriteLd
{ 1, 1, 2, 403, 406 }, // 315 IIC_iLoad_r_WriteLd
{ 1, 10, 11, 406, 409 }, // 316 IIC_iPop_WriteLd
{ 1, 10, 11, 0, 0 }, // 317 IIC_iStore_m_WriteST
{ 1, 1, 2, 409, 412 }, // 318 IIC_iStore_bh_r_WriteST
{ 1, 1, 2, 412, 415 }, // 319 IIC_iStore_r_WriteST
{ 1, 1, 2, 415, 417 }, // 320 IIC_iTSTr_WriteALU
{ 1, 1, 2, 417, 419 }, // 321 ANDri_ORRri_EORri_BICri
{ 1, 1, 2, 419, 422 }, // 322 ANDrr_ORRrr_EORrr_BICrr
{ 1, 2, 3, 422, 426 }, // 323 ANDrsi_ORRrsi_EORrsi_BICrsi
{ 1, 2, 3, 426, 430 }, // 324 ANDrsr_ORRrsr_EORrsr_BICrsr
{ 1, 1, 2, 430, 432 }, // 325 MOVsra_flag_MOVsrl_flag
{ 1, 2, 3, 432, 435 }, // 326 MOVsr_MOVsi
{ 1, 2, 3, 435, 438 }, // 327 MVNsr
{ 1, 1, 2, 438, 441 }, // 328 MOVCCsi_MOVCCsr
{ 1, 1, 2, 441, 443 }, // 329 MVNr
{ 1, 5, 7, 443, 444 }, // 330 MOVCCi32imm
{ 1, 5, 7, 444, 445 }, // 331 MOVi32imm
{ 1, 7, 10, 445, 446 }, // 332 MOV_ga_pcrel
{ 1, 7, 10, 446, 447 }, // 333 MOV_ga_pcrel_ldr
{ 0, 0, 0, 0, 0 }, // 334 SEL
{ 1, 1, 2, 447, 449 }, // 335 BFC_BFI_UBFX_SBFX
{ 1, 2, 3, 449, 452 }, // 336 MULv5_MUL_SMMUL_SMMULR
{ 1, 2, 3, 452, 456 }, // 337 MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR
{ 1, 10, 11, 456, 459 }, // 338 SMULLv5_SMULL_UMULLv5
{ 1, 10, 11, 459, 462 }, // 339 UMULL
{ 1, 10, 11, 462, 466 }, // 340 SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT
{ 0, 0, 0, 0, 0 }, // 341 SMLAD_SMLADX_SMLSD_SMLSDX
{ 0, 0, 0, 0, 0 }, // 342 SMLALD_SMLSLD
{ 0, 0, 0, 0, 0 }, // 343 SMLALDX_SMLSLDX
{ 0, 0, 0, 0, 0 }, // 344 SMUAD_SMUADX_SMUSD_SMUSDX
{ 1, 1, 2, 466, 469 }, // 345 SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT
{ 1, 1, 2, 469, 473 }, // 346 SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT
{ 1, 1, 2, 473, 476 }, // 347 LDRi12_PICLDR
{ 1, 2, 3, 476, 479 }, // 348 LDRrs
{ 1, 1, 2, 479, 482 }, // 349 LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB
{ 1, 1, 2, 482, 486 }, // 350 LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE
{ 1, 1, 2, 486, 488 }, // 351 SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH
{ 1, 1, 2, 488, 490 }, // 352 t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH
{ 1, 5, 7, 490, 491 }, // 353 t2MOVCCi32imm
{ 1, 5, 7, 491, 492 }, // 354 t2MOVi32imm
{ 1, 7, 10, 492, 493 }, // 355 t2MOV_ga_pcrel
{ 1, 1, 2, 493, 494 }, // 356 t2MOVi16_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 357 t2SEL
{ 1, 1, 2, 494, 496 }, // 358 t2BFC_t2UBFX_t2SBFX
{ 1, 1, 2, 496, 498 }, // 359 t2BFI
{ 1, 1, 2, 498, 501 }, // 360 QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 361 SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 362 t2SSAT_t2SSAT16_t2USAT_t2USAT16
{ 1, 1, 2, 501, 504 }, // 363 SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX
{ 0, 0, 0, 0, 0 }, // 364 t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX
{ 1, 1, 2, 504, 507 }, // 365 SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX
{ 1, 1, 2, 507, 510 }, // 366 SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH
{ 0, 0, 0, 0, 0 }, // 367 t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX
{ 1, 2, 3, 510, 514 }, // 368 t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 369 USAD8
{ 0, 0, 0, 0, 0 }, // 370 USADA8
{ 0, 0, 0, 0, 0 }, // 371 SMUSD_SMUSDX
{ 1, 2, 3, 514, 517 }, // 372 t2MUL_t2SMMUL_t2SMMULR
{ 1, 1, 2, 517, 520 }, // 373 t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT
{ 1, 2, 3, 520, 524 }, // 374 t2SMUSD_t2SMUSDX
{ 1, 2, 3, 524, 528 }, // 375 t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR
{ 1, 2, 3, 528, 532 }, // 376 t2SMUAD_t2SMUADX
{ 0, 0, 0, 0, 0 }, // 377 SMLSD_SMLSDX
{ 1, 1, 2, 532, 535 }, // 378 t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT
{ 1, 2, 3, 535, 539 }, // 379 t2SMLSD_t2SMLSDX
{ 1, 2, 3, 539, 543 }, // 380 t2SMLAD_t2SMLADX
{ 1, 10, 11, 543, 546 }, // 381 SMULL
{ 1, 10, 11, 546, 549 }, // 382 t2SMULL_t2UMULL
{ 1, 10, 11, 549, 553 }, // 383 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL
{ 0, 0, 0, 0, 0 }, // 384 SDIV_UDIV_t2SDIV_t2UDIV
{ 1, 1, 2, 553, 556 }, // 385 LDRi12
{ 1, 1, 2, 556, 559 }, // 386 LDRBi12
{ 1, 2, 3, 559, 562 }, // 387 LDRBrs
{ 1, 5, 7, 562, 564 }, // 388 t2LDRpci_pic
{ 1, 1, 2, 564, 566 }, // 389 t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi
{ 1, 2, 3, 566, 569 }, // 390 t2LDRs
{ 1, 1, 2, 569, 571 }, // 391 t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi
{ 1, 2, 3, 571, 574 }, // 392 t2LDRBs_t2LDRHs
{ 0, 0, 0, 0, 0 }, // 393 LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic
{ 1, 1, 2, 574, 577 }, // 394 tLDRBr_tLDRHr
{ 1, 1, 2, 577, 580 }, // 395 tLDRr
{ 1, 1, 2, 580, 583 }, // 396 LDRH_PICLDRB_PICLDRH
{ 1, 1, 2, 583, 586 }, // 397 LDRcp
{ 0, 0, 0, 0, 0 }, // 398 t2LDRSBpcrel_t2LDRSHpcrel
{ 1, 1, 2, 586, 588 }, // 399 t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci
{ 1, 2, 3, 588, 591 }, // 400 t2LDRSBs_t2LDRSHs
{ 1, 1, 2, 591, 594 }, // 401 tLDRSB_tLDRSH
{ 1, 1, 2, 594, 598 }, // 402 LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG
{ 1, 1, 2, 598, 601 }, // 403 LDRB_POST_IMM_LDRB_PRE_IMM
{ 1, 1, 2, 601, 605 }, // 404 LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG
{ 1, 1, 2, 605, 608 }, // 405 LDR_POST_IMM_LDR_PRE_IMM
{ 1, 1, 2, 608, 612 }, // 406 LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr
{ 1, 1, 2, 612, 615 }, // 407 t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE
{ 1, 1, 2, 615, 618 }, // 408 t2LDR_POST_t2LDR_PRE
{ 1, 1, 2, 618, 620 }, // 409 t2LDRBT_t2LDRHT
{ 1, 1, 2, 620, 622 }, // 410 t2LDRT
{ 1, 1, 2, 622, 625 }, // 411 t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE
{ 1, 1, 2, 625, 627 }, // 412 t2LDRSBT_t2LDRSHT
{ 1, 1, 2, 627, 629 }, // 413 t2LDRDi8
{ 1, 1, 2, 629, 632 }, // 414 LDRD
{ 1, 1, 2, 632, 636 }, // 415 LDRD_POST_LDRD_PRE
{ 1, 1, 2, 636, 640 }, // 416 t2LDRD_POST_t2LDRD_PRE
{ 1, 10, 11, 640, 645 }, // 417 LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA
{ 1, 10, 11, 645, 650 }, // 418 LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ 1, 3, 5, 650, 655 }, // 419 LDMIA_RET_t2LDMIA_RET
{ 1, 3, 5, 655, 658 }, // 420 tPOP_RET
{ 1, 10, 11, 658, 661 }, // 421 tPOP
{ 1, 1, 2, 661, 664 }, // 422 PICSTR_STRi12
{ 1, 1, 2, 664, 667 }, // 423 PICSTRB_PICSTRH_STRBi12_STRH
{ 1, 2, 3, 667, 670 }, // 424 STRrs
{ 1, 2, 3, 670, 673 }, // 425 STRBrs
{ 0, 0, 0, 0, 0 }, // 426 STREX_STREXB_STREXD_STREXH
{ 1, 1, 2, 673, 675 }, // 427 t2STRi12_t2STRi8_tSTRi_tSTRspi
{ 1, 2, 3, 675, 678 }, // 428 t2STRs
{ 1, 1, 2, 678, 680 }, // 429 t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi
{ 1, 2, 3, 680, 683 }, // 430 t2STRBs_t2STRHs
{ 1, 1, 2, 683, 686 }, // 431 tSTRBr_tSTRHr
{ 1, 1, 2, 686, 689 }, // 432 tSTRr
{ 1, 1, 2, 689, 693 }, // 433 STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr
{ 1, 1, 2, 693, 696 }, // 434 STRB_POST_IMM_STRB_PRE_IMM
{ 1, 1, 2, 696, 700 }, // 435 STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx
{ 1, 1, 2, 700, 703 }, // 436 STR_POST_IMM_STR_PRE_IMM
{ 0, 0, 0, 0, 0 }, // 437 STRBT_POST_STRT_POST
{ 1, 1, 2, 703, 706 }, // 438 t2STR_POST_t2STR_PRE_t2STRH_PRE
{ 1, 1, 2, 706, 709 }, // 439 t2STRB_POST_t2STRB_PRE_t2STRH_POST
{ 1, 1, 2, 709, 713 }, // 440 t2STR_preidx_t2STRB_preidx_t2STRH_preidx
{ 1, 1, 2, 713, 715 }, // 441 t2STRBT_t2STRHT
{ 1, 1, 2, 715, 717 }, // 442 t2STRT
{ 1, 1, 2, 717, 720 }, // 443 STRD
{ 1, 1, 2, 720, 723 }, // 444 t2STRDi8
{ 1, 1, 2, 723, 727 }, // 445 t2STRD_POST_t2STRD_PRE
{ 1, 1, 2, 727, 731 }, // 446 STRD_POST_STRD_PRE
{ 1, 10, 11, 0, 0 }, // 447 STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA
{ 1, 10, 11, 731, 732 }, // 448 STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ 1, 10, 11, 0, 0 }, // 449 tPUSH
{ 1, 1, 2, 732, 734 }, // 450 LDRLIT_ga_abs_tLDRLIT_ga_abs
{ 1, 5, 7, 734, 736 }, // 451 LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 452 LDRLIT_ga_pcrel_ldr
{ 1, 1, 2, 0, 0 }, // 453 t2IT
{ 0, 0, 0, 0, 0 }, // 454 ITasm
{ 0, 0, 0, 0, 0 }, // 455 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq
{ 0, 0, 0, 0, 0 }, // 456 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd
{ 0, 0, 0, 0, 0 }, // 457 VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16
{ 0, 0, 0, 0, 0 }, // 458 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16
{ 0, 0, 0, 0, 0 }, // 459 VNEGf32q
{ 0, 0, 0, 0, 0 }, // 460 VNEGfd
{ 0, 0, 0, 0, 0 }, // 461 VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 0, 0, 0, 0, 0 }, // 462 VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 0, 0, 0, 0, 0 }, // 463 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16
{ 0, 0, 0, 0, 0 }, // 464 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8
{ 0, 0, 0, 0, 0 }, // 465 VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16
{ 0, 0, 0, 0, 0 }, // 466 VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8
{ 0, 0, 0, 0, 0 }, // 467 VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16
{ 0, 0, 0, 0, 0 }, // 468 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8
{ 0, 0, 0, 0, 0 }, // 469 VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16
{ 0, 0, 0, 0, 0 }, // 470 VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 0, 0, 0, 0, 0 }, // 471 VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 0, 0, 0, 0, 0 }, // 472 VEXTd16_VEXTd32_VEXTd8
{ 0, 0, 0, 0, 0 }, // 473 VEXTq16_VEXTq32_VEXTq64_VEXTq8
{ 0, 0, 0, 0, 0 }, // 474 VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8
{ 0, 0, 0, 0, 0 }, // 475 VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8
{ 0, 0, 0, 0, 0 }, // 476 VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 0, 0, 0, 0, 0 }, // 477 VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16
{ 0, 0, 0, 0, 0 }, // 478 VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16
{ 0, 0, 0, 0, 0 }, // 479 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8
{ 0, 0, 0, 0, 0 }, // 480 VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd
{ 0, 0, 0, 0, 0 }, // 481 VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq
{ 0, 0, 0, 0, 0 }, // 482 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16
{ 0, 0, 0, 0, 0 }, // 483 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8
{ 0, 0, 0, 0, 0 }, // 484 VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8
{ 0, 0, 0, 0, 0 }, // 485 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16
{ 0, 0, 0, 0, 0 }, // 486 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 0, 0, 0, 0, 0 }, // 487 VABSfd
{ 0, 0, 0, 0, 0 }, // 488 VABSfq
{ 0, 0, 0, 0, 0 }, // 489 VABSv16i8_VABSv4i32_VABSv8i16
{ 0, 0, 0, 0, 0 }, // 490 VABSv2i32_VABSv4i16_VABSv8i8
{ 0, 0, 0, 0, 0 }, // 491 VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16
{ 0, 0, 0, 0, 0 }, // 492 VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8
{ 0, 0, 0, 0, 0 }, // 493 VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16
{ 0, 0, 0, 0, 0 }, // 494 VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8
{ 0, 0, 0, 0, 0 }, // 495 VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd
{ 0, 0, 0, 0, 0 }, // 496 VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq
{ 0, 0, 0, 0, 0 }, // 497 VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8
{ 0, 0, 0, 0, 0 }, // 498 VSHRNv2i32_VSHRNv4i16_VSHRNv8i8
{ 0, 0, 0, 0, 0 }, // 499 VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8
{ 0, 0, 0, 0, 0 }, // 500 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8
{ 0, 0, 0, 0, 0 }, // 501 VTBL1
{ 0, 0, 0, 0, 0 }, // 502 VTBX1
{ 0, 0, 0, 0, 0 }, // 503 VTBL2
{ 0, 0, 0, 0, 0 }, // 504 VTBX2
{ 0, 0, 0, 0, 0 }, // 505 VTBL3_VTBL3Pseudo
{ 0, 0, 0, 0, 0 }, // 506 VTBX3_VTBX3Pseudo
{ 0, 0, 0, 0, 0 }, // 507 VTBL4_VTBL4Pseudo
{ 0, 0, 0, 0, 0 }, // 508 VTBX4_VTBX4Pseudo
{ 0, 0, 0, 0, 0 }, // 509 VSWPd_VSWPq
{ 0, 0, 0, 0, 0 }, // 510 VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8
{ 0, 0, 0, 0, 0 }, // 511 VTRNq16_VTRNq32_VTRNq8
{ 0, 0, 0, 0, 0 }, // 512 VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8
{ 1, 1, 2, 736, 738 }, // 513 VABSD_VNEGD
{ 1, 1, 2, 738, 740 }, // 514 VABSS_VNEGS
{ 1, 1, 2, 740, 742 }, // 515 VCMPD_VCMPZD_VCMPED_VCMPEZD
{ 1, 1, 2, 742, 744 }, // 516 VCMPS_VCMPZS_VCMPES_VCMPEZS
{ 1, 1, 2, 744, 747 }, // 517 VADDS_VSUBS
{ 0, 0, 0, 0, 0 }, // 518 VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd
{ 0, 0, 0, 0, 0 }, // 519 VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq
{ 0, 0, 0, 0, 0 }, // 520 VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 0, 0, 0, 0, 0 }, // 521 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8
{ 0, 0, 0, 0, 0 }, // 522 VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh
{ 1, 1, 2, 747, 750 }, // 523 VADDD_VSUBD
{ 0, 0, 0, 0, 0 }, // 524 VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd
{ 0, 0, 0, 0, 0 }, // 525 VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq
{ 1, 1, 2, 750, 753 }, // 526 VMULS_VNMULS
{ 0, 0, 0, 0, 0 }, // 527 VMULfd
{ 0, 0, 0, 0, 0 }, // 528 VMULfq
{ 0, 0, 0, 0, 0 }, // 529 VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32
{ 0, 0, 0, 0, 0 }, // 530 VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 0, 0, 0, 0, 0 }, // 531 VMULslfd
{ 0, 0, 0, 0, 0 }, // 532 VMULslfq
{ 0, 0, 0, 0, 0 }, // 533 VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64
{ 0, 0, 0, 0, 0 }, // 534 VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 0, 0, 0, 0, 0 }, // 535 VMULLp64
{ 1, 2, 3, 753, 757 }, // 536 VMLAD_VMLSD_VNMLAD_VNMLSD
{ 0, 0, 0, 0, 0 }, // 537 VMLAH_VMLSH_VNMLAH_VNMLSH
{ 0, 0, 0, 0, 0 }, // 538 VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 0, 0, 0, 0, 0 }, // 539 VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 1, 1, 2, 757, 761 }, // 540 VMLAS_VMLSS_VNMLAS_VNMLSS
{ 0, 0, 0, 0, 0 }, // 541 VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd
{ 0, 0, 0, 0, 0 }, // 542 VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq
{ 0, 0, 0, 0, 0 }, // 543 VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32
{ 0, 0, 0, 0, 0 }, // 544 VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16
{ 1, 2, 3, 761, 765 }, // 545 VFMAD_VFMSD_VFNMAD_VFNMSD
{ 1, 1, 2, 765, 769 }, // 546 VFMAS_VFMSS_VFNMAS_VFNMSS
{ 0, 0, 0, 0, 0 }, // 547 VFNMAH_VFNMSH
{ 0, 0, 0, 0, 0 }, // 548 VFMAfd_VFMSfd
{ 0, 0, 0, 0, 0 }, // 549 VFMAfq_VFMSfq
{ 0, 0, 0, 0, 0 }, // 550 VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD
{ 0, 0, 0, 0, 0 }, // 551 VCVTBHD
{ 0, 0, 0, 0, 0 }, // 552 VCVTBHS_VCVTTHS
{ 0, 0, 0, 0, 0 }, // 553 VCVTBSH_VCVTTSH
{ 1, 1, 2, 769, 771 }, // 554 VCVTDS
{ 1, 1, 2, 771, 773 }, // 555 VCVTSD
{ 0, 0, 0, 0, 0 }, // 556 VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq
{ 0, 0, 0, 0, 0 }, // 557 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd
{ 1, 1, 2, 773, 775 }, // 558 VSITOD_VUITOD
{ 0, 0, 0, 0, 0 }, // 559 VSITOH_VUITOH
{ 1, 1, 2, 775, 777 }, // 560 VSITOS_VUITOS
{ 1, 1, 2, 777, 779 }, // 561 VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD
{ 0, 0, 0, 0, 0 }, // 562 VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH
{ 1, 1, 2, 779, 781 }, // 563 VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS
{ 0, 0, 0, 0, 0 }, // 564 VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 1, 1, 2, 781, 783 }, // 565 VMOVD_VMOVDcc_FCONSTD
{ 1, 1, 2, 783, 785 }, // 566 VMOVS_VMOVScc_FCONSTS
{ 0, 0, 0, 0, 0 }, // 567 VMVNd_VMVNq
{ 0, 0, 0, 0, 0 }, // 568 VMOVNv2i32_VMOVNv4i16_VMOVNv8i8
{ 0, 0, 0, 0, 0 }, // 569 VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16
{ 0, 0, 0, 0, 0 }, // 570 VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8
{ 0, 0, 0, 0, 0 }, // 571 VDUPLN16d_VDUPLN32d_VDUPLN8d
{ 0, 0, 0, 0, 0 }, // 572 VDUPLN16q_VDUPLN32q_VDUPLN8q
{ 0, 0, 0, 0, 0 }, // 573 VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q
{ 1, 1, 2, 785, 787 }, // 574 VMOVRS
{ 1, 1, 2, 787, 789 }, // 575 VMOVSR
{ 0, 0, 0, 0, 0 }, // 576 VSETLNi16_VSETLNi32_VSETLNi8
{ 1, 1, 2, 789, 792 }, // 577 VMOVRRD_VMOVRRS
{ 1, 1, 2, 792, 795 }, // 578 VMOVDRR
{ 1, 1, 2, 795, 798 }, // 579 VMOVSRR
{ 0, 0, 0, 0, 0 }, // 580 VGETLNi32_VGETLNu16_VGETLNu8
{ 0, 0, 0, 0, 0 }, // 581 VGETLNs16_VGETLNs8
{ 1, 1, 2, 798, 799 }, // 582 VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR
{ 1, 1, 2, 799, 800 }, // 583 VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR
{ 1, 1, 2, 800, 801 }, // 584 FMSTAT
{ 1, 1, 2, 801, 804 }, // 585 VLDRD
{ 1, 1, 2, 804, 807 }, // 586 VLDRS
{ 1, 1, 2, 807, 810 }, // 587 VSTRD
{ 1, 1, 2, 810, 813 }, // 588 VSTRS
{ 1, 10, 11, 813, 817 }, // 589 VLDMQIA
{ 1, 10, 11, 817, 821 }, // 590 VSTMQIA
{ 1, 10, 11, 821, 825 }, // 591 VLDMDIA_VLDMSIA
{ 1, 10, 11, 825, 830 }, // 592 VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD
{ 1, 10, 11, 830, 834 }, // 593 VSTMDIA_VSTMSIA
{ 1, 10, 11, 834, 839 }, // 594 VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD
{ 0, 0, 0, 0, 0 }, // 595 VLD1d16_VLD1d32_VLD1d64_VLD1d8
{ 0, 0, 0, 0, 0 }, // 596 VLD1q16_VLD1q32_VLD1q64_VLD1q8
{ 0, 0, 0, 0, 0 }, // 597 VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register
{ 0, 0, 0, 0, 0 }, // 598 VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register
{ 0, 0, 0, 0, 0 }, // 599 VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register
{ 0, 0, 0, 0, 0 }, // 600 VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register
{ 0, 0, 0, 0, 0 }, // 601 VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register
{ 0, 0, 0, 0, 0 }, // 602 VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register
{ 0, 0, 0, 0, 0 }, // 603 VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8
{ 0, 0, 0, 0, 0 }, // 604 VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo
{ 0, 0, 0, 0, 0 }, // 605 VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 0, 0, 0, 0, 0 }, // 606 VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register
{ 0, 0, 0, 0, 0 }, // 607 VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8
{ 0, 0, 0, 0, 0 }, // 608 VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo
{ 0, 0, 0, 0, 0 }, // 609 VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD
{ 0, 0, 0, 0, 0 }, // 610 VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 611 VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8
{ 0, 0, 0, 0, 0 }, // 612 VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo
{ 0, 0, 0, 0, 0 }, // 613 VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD
{ 0, 0, 0, 0, 0 }, // 614 VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 615 VLD1DUPd16_VLD1DUPd32_VLD1DUPd8
{ 0, 0, 0, 0, 0 }, // 616 VLD1DUPq16_VLD1DUPq32_VLD1DUPq8
{ 0, 0, 0, 0, 0 }, // 617 VLD1LNd16_VLD1LNd8
{ 0, 0, 0, 0, 0 }, // 618 VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo
{ 0, 0, 0, 0, 0 }, // 619 VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register
{ 0, 0, 0, 0, 0 }, // 620 VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed
{ 0, 0, 0, 0, 0 }, // 621 VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 622 VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2
{ 0, 0, 0, 0, 0 }, // 623 VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 624 VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 625 VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register
{ 0, 0, 0, 0, 0 }, // 626 VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 627 VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo
{ 0, 0, 0, 0, 0 }, // 628 VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 629 VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD
{ 0, 0, 0, 0, 0 }, // 630 VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 631 VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 632 VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 633 VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8
{ 0, 0, 0, 0, 0 }, // 634 VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 635 VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo
{ 0, 0, 0, 0, 0 }, // 636 VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD
{ 0, 0, 0, 0, 0 }, // 637 VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 638 VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 639 VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 640 VST1d16_VST1d32_VST1d64_VST1d8
{ 0, 0, 0, 0, 0 }, // 641 VST1q16_VST1q32_VST1q64_VST1q8
{ 0, 0, 0, 0, 0 }, // 642 VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register
{ 0, 0, 0, 0, 0 }, // 643 VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register
{ 0, 0, 0, 0, 0 }, // 644 VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo
{ 0, 0, 0, 0, 0 }, // 645 VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register
{ 0, 0, 0, 0, 0 }, // 646 VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register
{ 0, 0, 0, 0, 0 }, // 647 VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo
{ 0, 0, 0, 0, 0 }, // 648 VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register
{ 0, 0, 0, 0, 0 }, // 649 VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register
{ 0, 0, 0, 0, 0 }, // 650 VST2b16_VST2b32_VST2b8
{ 0, 0, 0, 0, 0 }, // 651 VST2d16_VST2d32_VST2d8
{ 0, 0, 0, 0, 0 }, // 652 VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register
{ 0, 0, 0, 0, 0 }, // 653 VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo
{ 0, 0, 0, 0, 0 }, // 654 VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register
{ 0, 0, 0, 0, 0 }, // 655 VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register
{ 0, 0, 0, 0, 0 }, // 656 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo
{ 0, 0, 0, 0, 0 }, // 657 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 658 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo
{ 0, 0, 0, 0, 0 }, // 659 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 660 VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo
{ 0, 0, 0, 0, 0 }, // 661 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 662 VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 663 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 664 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 665 VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 666 VST3LNq16Pseudo_VST3LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 667 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 668 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 669 VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 670 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 671 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD
{ 1, 12, 13, 839, 842 }, // 672 VDIVS
{ 1, 12, 13, 842, 845 }, // 673 VSQRTS
{ 1, 11, 12, 845, 848 }, // 674 VDIVD
{ 1, 11, 12, 848, 851 }, // 675 VSQRTD
{ 0, 0, 0, 0, 0 }, // 676 ABS
{ 0, 0, 0, 0, 0 }, // 677 COPY
{ 1, 1, 2, 851, 852 }, // 678 t2MOVCCi_t2MOVCCi16
{ 1, 1, 2, 852, 853 }, // 679 t2MOVi_t2MOVi16
{ 0, 0, 0, 0, 0 }, // 680 t2ABS
{ 0, 0, 0, 0, 0 }, // 681 t2USAD8_t2USADA8
{ 0, 0, 0, 0, 0 }, // 682 t2SDIV_t2UDIV
{ 0, 0, 0, 0, 0 }, // 683 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH
{ 0, 0, 0, 0, 0 }, // 684 LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH
{ 0, 0, 0, 0, 0 }, // 685 LDRBT_POST
{ 1, 2, 3, 853, 856 }, // 686 MOVsr
{ 0, 0, 0, 0, 0 }, // 687 t2MOVSsr_t2MOVsr
{ 1, 1, 2, 856, 858 }, // 688 t2MOVsra_flag_t2MOVsrl_flag
{ 1, 1, 2, 858, 859 }, // 689 MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 1, 2, 859, 861 }, // 690 ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri
{ 1, 1, 2, 861, 863 }, // 691 CLZ_t2CLZ
{ 1, 1, 2, 863, 865 }, // 692 t2ANDri_t2BICri_t2EORri_t2ORRri
{ 1, 1, 2, 865, 866 }, // 693 t2MVNCCi
{ 1, 1, 2, 866, 867 }, // 694 t2MVNi
{ 1, 1, 2, 867, 869 }, // 695 t2MVNr
{ 1, 1, 2, 869, 871 }, // 696 t2MVNs
{ 1, 1, 2, 871, 874 }, // 697 ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr
{ 0, 0, 0, 0, 0 }, // 698 CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W
{ 1, 1, 2, 874, 877 }, // 699 t2ANDrr_t2BICrr_t2EORrr
{ 1, 2, 3, 877, 881 }, // 700 ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi
{ 1, 1, 2, 881, 884 }, // 701 t2ADDSrs
{ 1, 1, 2, 884, 887 }, // 702 t2ADCrs_t2ADDrs_t2SBCrs
{ 1, 1, 2, 887, 890 }, // 703 t2ANDrs_t2BICrs_t2EORrs_t2ORRrs
{ 0, 0, 0, 0, 0 }, // 704 t2RSBrs
{ 1, 2, 3, 890, 894 }, // 705 ADDSrsr
{ 1, 2, 3, 894, 898 }, // 706 ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr
{ 1, 1, 2, 898, 900 }, // 707 ADR
{ 1, 1, 2, 900, 901 }, // 708 MVNi
{ 1, 2, 3, 901, 904 }, // 709 MVNsi
{ 0, 0, 0, 0, 0 }, // 710 t2MOVSsi_t2MOVsi
{ 0, 0, 0, 0, 0 }, // 711 ASRi_RORi
{ 0, 0, 0, 0, 0 }, // 712 ASRr_RORr_LSRi_LSRr_LSLi_LSLr
{ 1, 1, 2, 904, 905 }, // 713 CMPri_CMNri
{ 1, 1, 2, 905, 907 }, // 714 CMPrr_CMNzrr
{ 1, 2, 3, 907, 910 }, // 715 CMPrsi_CMNzrsi
{ 1, 2, 3, 910, 913 }, // 716 CMPrsr_CMNzrsr
{ 0, 0, 0, 0, 0 }, // 717 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi
{ 1, 1, 2, 913, 915 }, // 718 RBIT_REV_REV16_REVSH
{ 1, 1, 2, 915, 917 }, // 719 RRX
{ 1, 1, 2, 917, 918 }, // 720 TSTri
{ 1, 1, 2, 918, 920 }, // 721 TSTrr
{ 1, 2, 3, 920, 923 }, // 722 TSTrsi
{ 1, 2, 3, 923, 926 }, // 723 TSTrsr
{ 0, 0, 0, 0, 0 }, // 724 MRS_MRSbanked_MRSsys
{ 0, 0, 0, 0, 0 }, // 725 MSR_MSRbanked_MSRi
{ 0, 0, 0, 0, 0 }, // 726 SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW
{ 0, 0, 0, 0, 0 }, // 727 t2STREX_t2STREXB_t2STREXD_t2STREXH
{ 0, 0, 0, 0, 0 }, // 728 STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH
{ 0, 0, 0, 0, 0 }, // 729 t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH
{ 0, 0, 0, 0, 0 }, // 730 VABDfd_VABDhd
{ 0, 0, 0, 0, 0 }, // 731 VABDfq_VABDhq
{ 1, 1, 2, 926, 928 }, // 732 VABSD
{ 0, 0, 0, 0, 0 }, // 733 VABSH
{ 1, 1, 2, 928, 930 }, // 734 VABSS
{ 0, 0, 0, 0, 0 }, // 735 VABShd
{ 0, 0, 0, 0, 0 }, // 736 VABShq
{ 0, 0, 0, 0, 0 }, // 737 VACGEfd_VACGEhd_VACGTfd_VACGThd
{ 0, 0, 0, 0, 0 }, // 738 VACGEfq_VACGEhq_VACGTfq_VACGThq
{ 0, 0, 0, 0, 0 }, // 739 VADDH_VSUBH
{ 0, 0, 0, 0, 0 }, // 740 VADDfd_VSUBfd
{ 0, 0, 0, 0, 0 }, // 741 VADDhd_VSUBhd
{ 0, 0, 0, 0, 0 }, // 742 VADDfq_VSUBfq
{ 0, 0, 0, 0, 0 }, // 743 VADDhq_VSUBhq
{ 0, 0, 0, 0, 0 }, // 744 VLDRH
{ 1, 1, 2, 930, 931 }, // 745 VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre
{ 0, 0, 0, 0, 0 }, // 746 VSTRH
{ 1, 1, 2, 931, 932 }, // 747 VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre
{ 0, 0, 0, 0, 0 }, // 748 VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 0, 0, 0, 0, 0 }, // 749 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8
{ 0, 0, 0, 0, 0 }, // 750 VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16
{ 0, 0, 0, 0, 0 }, // 751 VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16
{ 0, 0, 0, 0, 0 }, // 752 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8
{ 0, 0, 0, 0, 0 }, // 753 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8
{ 0, 0, 0, 0, 0 }, // 754 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16
{ 0, 0, 0, 0, 0 }, // 755 VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16
{ 0, 0, 0, 0, 0 }, // 756 VANDd_VBICd_VEORd
{ 0, 0, 0, 0, 0 }, // 757 VANDq_VBICq_VEORq
{ 0, 0, 0, 0, 0 }, // 758 VBICiv2i32_VBICiv4i16
{ 0, 0, 0, 0, 0 }, // 759 VBICiv4i32_VBICiv8i16
{ 0, 0, 0, 0, 0 }, // 760 VBIFd_VBITd
{ 0, 0, 0, 0, 0 }, // 761 VBSLd
{ 0, 0, 0, 0, 0 }, // 762 VBIFq_VBITq
{ 0, 0, 0, 0, 0 }, // 763 VBSLq
{ 0, 0, 0, 0, 0 }, // 764 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16
{ 0, 0, 0, 0, 0 }, // 765 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8
{ 0, 0, 0, 0, 0 }, // 766 VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 0, 0, 0, 0, 0 }, // 767 VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 0, 0, 0, 0, 0 }, // 768 VCMPEH_VCMPEZH_VCMPH_VCMPZH
{ 0, 0, 0, 0, 0 }, // 769 VDUP16d_VDUP32d_VDUP8d
{ 0, 0, 0, 0, 0 }, // 770 VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS
{ 0, 0, 0, 0, 0 }, // 771 VFMAhd_VFMShd
{ 0, 0, 0, 0, 0 }, // 772 VFMAhq_VFMShq
{ 0, 0, 0, 0, 0 }, // 773 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8
{ 0, 0, 0, 0, 0 }, // 774 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16
{ 0, 0, 0, 0, 0 }, // 775 VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 0, 0, 0, 0, 0 }, // 776 VPMAXf_VPMAXh_VPMINf_VPMINh
{ 0, 0, 0, 0, 0 }, // 777 VNEGH
{ 0, 0, 0, 0, 0 }, // 778 VNEGhd
{ 0, 0, 0, 0, 0 }, // 779 VNEGhq
{ 0, 0, 0, 0, 0 }, // 780 VNEGs16d_VNEGs32d_VNEGs8d
{ 0, 0, 0, 0, 0 }, // 781 VNEGs16q_VNEGs32q_VNEGs8q
{ 0, 0, 0, 0, 0 }, // 782 VPADDi16_VPADDi32_VPADDi8
{ 0, 0, 0, 0, 0 }, // 783 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8
{ 0, 0, 0, 0, 0 }, // 784 VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8
{ 0, 0, 0, 0, 0 }, // 785 VQABSv2i32_VQABSv4i16_VQABSv8i8
{ 0, 0, 0, 0, 0 }, // 786 VQABSv16i8_VQABSv4i32_VQABSv8i16
{ 0, 0, 0, 0, 0 }, // 787 VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 0, 0, 0, 0, 0 }, // 788 VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 0, 0, 0, 0, 0 }, // 789 VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32
{ 0, 0, 0, 0, 0 }, // 790 VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16
{ 0, 0, 0, 0, 0 }, // 791 VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 0, 0, 0, 0, 0 }, // 792 VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 0, 0, 0, 0, 0 }, // 793 VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8
{ 0, 0, 0, 0, 0 }, // 794 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16
{ 0, 0, 0, 0, 0 }, // 795 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 0, 0, 0, 0, 0 }, // 796 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8
{ 0, 0, 0, 0, 0 }, // 797 VST1d16T_VST1d32T_VST1d64T_VST1d8T
{ 0, 0, 0, 0, 0 }, // 798 VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q
{ 0, 0, 0, 0, 0 }, // 799 VST1d64QPseudo
{ 0, 0, 0, 0, 0 }, // 800 VST1LNd16_VST1LNd32_VST1LNd8
{ 0, 0, 0, 0, 0 }, // 801 VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8
{ 0, 0, 0, 0, 0 }, // 802 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 803 VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 804 VST2q16_VST2q32_VST2q8
{ 0, 0, 0, 0, 0 }, // 805 VST2LNd16_VST2LNd32_VST2LNd8
{ 0, 0, 0, 0, 0 }, // 806 VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8
{ 0, 0, 0, 0, 0 }, // 807 VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 808 VST2LNq16_VST2LNq32
{ 0, 0, 0, 0, 0 }, // 809 VST2LNqAsm_16_VST2LNqAsm_32
{ 0, 0, 0, 0, 0 }, // 810 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 811 VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 812 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 813 VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32
{ 0, 0, 0, 0, 0 }, // 814 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8
{ 0, 0, 0, 0, 0 }, // 815 VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8
{ 0, 0, 0, 0, 0 }, // 816 VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo
{ 0, 0, 0, 0, 0 }, // 817 VST3LNd16_VST3LNd32_VST3LNd8
{ 0, 0, 0, 0, 0 }, // 818 VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8
{ 0, 0, 0, 0, 0 }, // 819 VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 820 VST3LNqAsm_16_VST3LNqAsm_32
{ 0, 0, 0, 0, 0 }, // 821 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD
{ 0, 0, 0, 0, 0 }, // 822 VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 823 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 824 VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 825 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 826 VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32
{ 0, 0, 0, 0, 0 }, // 827 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8
{ 0, 0, 0, 0, 0 }, // 828 VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8
{ 0, 0, 0, 0, 0 }, // 829 VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo
{ 0, 0, 0, 0, 0 }, // 830 VST4LNd16_VST4LNd32_VST4LNd8
{ 0, 0, 0, 0, 0 }, // 831 VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8
{ 0, 0, 0, 0, 0 }, // 832 VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 833 VST4LNq16_VST4LNq32
{ 0, 0, 0, 0, 0 }, // 834 VST4LNqAsm_16_VST4LNqAsm_32
{ 0, 0, 0, 0, 0 }, // 835 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD
{ 0, 0, 0, 0, 0 }, // 836 VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 837 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 838 VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 839 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 840 VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32
{ 0, 0, 0, 0, 0 }, // 841 BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier
{ 1, 1, 2, 0, 0 }, // 842 t2HVC_tTRAP_SVC_tSVC
{ 1, 1, 2, 0, 0 }, // 843 t2UDF_tUDF_t__brkdiv0
{ 0, 0, 0, 0, 0 }, // 844 LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY
{ 0, 0, 0, 0, 0 }, // 845 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE
{ 0, 0, 0, 0, 0 }, // 846 LDREX_LDREXB_LDREXD_LDREXH
{ 0, 0, 0, 0, 0 }, // 847 MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked
{ 0, 0, 0, 0, 0 }, // 848 FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD
{ 0, 0, 0, 0, 0 }, // 849 ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK
{ 1, 1, 2, 0, 0 }, // 850 SUBS_PC_LR
{ 1, 1, 2, 0, 0 }, // 851 B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ
{ 0, 0, 0, 0, 0 }, // 852 BXJ
{ 1, 1, 2, 0, 0 }, // 853 tBfar
{ 1, 1, 2, 0, 0 }, // 854 BL_tBL_BL_pred_tBLXi
{ 0, 0, 0, 0, 0 }, // 855 BLXi
{ 1, 1, 2, 0, 0 }, // 856 TPsoft_tTPsoft
{ 1, 1, 2, 0, 0 }, // 857 BLX_BLX_pred_tBLXNSr_tBLXr
{ 1, 1, 2, 0, 0 }, // 858 BCCi64_BCCZi64
{ 1, 1, 2, 0, 0 }, // 859 BR_JTadd_tBR_JTr_t2TBB_t2TBH
{ 1, 1, 2, 0, 0 }, // 860 BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND
{ 0, 0, 0, 0, 0 }, // 861 t2BXJ
{ 1, 1, 2, 0, 0 }, // 862 BR_JTm_i12_BR_JTm_rs
{ 0, 0, 0, 0, 0 }, // 863 tADDframe
{ 1, 1, 2, 932, 933 }, // 864 MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8
{ 1, 1, 2, 933, 935 }, // 865 MOVr_MOVr_TC_tMOVSr_tMOVr
{ 1, 1, 2, 935, 936 }, // 866 MVNCCi_MOVCCi
{ 1, 1, 2, 0, 0 }, // 867 BMOVPCB_CALL_BMOVPCRX_CALL
{ 1, 1, 2, 936, 938 }, // 868 MOVCCr
{ 0, 0, 0, 0, 0 }, // 869 tMOVCCr_pseudo
{ 1, 1, 2, 938, 940 }, // 870 tMVN
{ 1, 1, 2, 940, 943 }, // 871 MOVCCsi
{ 1, 1, 2, 943, 945 }, // 872 t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX
{ 0, 0, 0, 0, 0 }, // 873 LSRi_LSLi
{ 1, 1, 2, 945, 947 }, // 874 t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror
{ 1, 1, 2, 947, 949 }, // 875 t2MOVCCr
{ 1, 1, 2, 949, 950 }, // 876 t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 1, 2, 950, 952 }, // 877 t2MOVr
{ 1, 2, 3, 952, 955 }, // 878 tROR
{ 1, 2, 3, 955, 958 }, // 879 t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr
{ 1, 1, 2, 0, 0 }, // 880 MOVPCRX_MOVPCLR
{ 1, 2, 3, 958, 961 }, // 881 tMUL
{ 1, 1, 2, 961, 964 }, // 882 SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8
{ 0, 0, 0, 0, 0 }, // 883 t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8
{ 1, 1, 2, 964, 967 }, // 884 SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8
{ 0, 0, 0, 0, 0 }, // 885 t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8
{ 1, 1, 2, 967, 970 }, // 886 QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8
{ 0, 0, 0, 0, 0 }, // 887 t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8
{ 1, 1, 2, 970, 973 }, // 888 QASX_QSAX_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 889 t2QASX_t2QSAX_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 890 SSAT_SSAT16_USAT_USAT16
{ 1, 1, 2, 973, 976 }, // 891 QADD_QSUB
{ 1, 1, 2, 976, 978 }, // 892 SBFX_UBFX
{ 1, 1, 2, 978, 980 }, // 893 t2SBFX_t2UBFX
{ 1, 1, 2, 980, 982 }, // 894 SXTB_SXTH_UXTB_UXTH
{ 1, 1, 2, 982, 984 }, // 895 t2SXTB_t2SXTH_t2UXTB_t2UXTH
{ 1, 1, 2, 984, 986 }, // 896 tSXTB_tSXTH_tUXTB_tUXTH
{ 1, 1, 2, 986, 989 }, // 897 SXTAB_SXTAH_UXTAB_UXTAH
{ 1, 2, 3, 989, 993 }, // 898 t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 899 LDRConstPool_t2LDRConstPool_tLDRConstPool
{ 1, 1, 2, 993, 996 }, // 900 PICLDRB_PICLDRH
{ 1, 1, 2, 996, 999 }, // 901 PICLDRSB_PICLDRSH
{ 1, 1, 2, 999, 1003 }, // 902 tLDR_postidx
{ 1, 1, 2, 1003, 1005 }, // 903 tLDRBi_tLDRHi
{ 1, 1, 2, 1005, 1007 }, // 904 tLDRi_tLDRpci_tLDRspi
{ 0, 0, 0, 0, 0 }, // 905 t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel
{ 1, 1, 2, 1007, 1010 }, // 906 LDR_PRE_IMM
{ 1, 1, 2, 1010, 1013 }, // 907 LDRB_PRE_IMM
{ 1, 1, 2, 1013, 1016 }, // 908 t2LDRB_PRE
{ 1, 1, 2, 1016, 1020 }, // 909 LDR_PRE_REG
{ 1, 1, 2, 1020, 1024 }, // 910 LDRB_PRE_REG
{ 1, 1, 2, 1024, 1028 }, // 911 LDRH_PRE
{ 1, 1, 2, 1028, 1032 }, // 912 LDRSB_PRE_LDRSH_PRE
{ 1, 1, 2, 1032, 1035 }, // 913 t2LDRH_PRE
{ 1, 1, 2, 1035, 1038 }, // 914 t2LDRSB_PRE_t2LDRSH_PRE
{ 1, 1, 2, 1038, 1041 }, // 915 t2LDR_PRE
{ 1, 1, 2, 1041, 1045 }, // 916 LDRD_PRE
{ 1, 1, 2, 1045, 1049 }, // 917 t2LDRD_PRE
{ 1, 1, 2, 1049, 1053 }, // 918 LDRT_POST_IMM
{ 1, 1, 2, 1053, 1057 }, // 919 LDRBT_POST_IMM
{ 1, 1, 2, 1057, 1061 }, // 920 LDRHTi
{ 1, 1, 2, 1061, 1065 }, // 921 LDRSBTi_LDRSHTi
{ 1, 1, 2, 1065, 1068 }, // 922 t2LDRB_POST
{ 1, 1, 2, 1068, 1072 }, // 923 LDRH_POST
{ 1, 1, 2, 1072, 1076 }, // 924 LDRSB_POST_LDRSH_POST
{ 1, 1, 2, 1076, 1080 }, // 925 LDR_POST_REG
{ 1, 1, 2, 1080, 1084 }, // 926 LDRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 927 LDRT_POST
{ 0, 0, 0, 0, 0 }, // 928 PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs
{ 0, 0, 0, 0, 0 }, // 929 PLDrs_PLDWrs
{ 0, 0, 0, 0, 0 }, // 930 VLLDM
{ 1, 1, 2, 1084, 1087 }, // 931 STRBi12_PICSTRB_PICSTRH
{ 1, 1, 2, 1087, 1089 }, // 932 t2STRBT
{ 1, 1, 2, 1089, 1092 }, // 933 STR_PRE_IMM
{ 1, 1, 2, 1092, 1095 }, // 934 STRB_PRE_IMM
{ 1, 1, 2, 1095, 1099 }, // 935 STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx
{ 1, 1, 2, 1099, 1103 }, // 936 STRH_PRE
{ 1, 1, 2, 1103, 1106 }, // 937 t2STRH_PRE_t2STR_PRE
{ 1, 1, 2, 1106, 1109 }, // 938 t2STRB_PRE
{ 1, 1, 2, 1109, 1113 }, // 939 t2STRD_PRE
{ 1, 1, 2, 1113, 1117 }, // 940 STR_PRE_REG
{ 1, 1, 2, 1117, 1121 }, // 941 STRB_PRE_REG
{ 1, 1, 2, 1121, 1125 }, // 942 STRD_PRE
{ 1, 1, 2, 1125, 1129 }, // 943 STRT_POST_IMM
{ 1, 1, 2, 1129, 1133 }, // 944 STRBT_POST_IMM
{ 1, 1, 2, 1133, 1136 }, // 945 t2STRB_POST
{ 1, 1, 2, 1136, 1140 }, // 946 STRBT_POST_REG_STRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 947 VLSTM
{ 0, 0, 0, 0, 0 }, // 948 VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD
{ 1, 1, 2, 1140, 1142 }, // 949 VTOSLS_VTOUHS_VTOULS
{ 1, 1, 2, 1142, 1144 }, // 950 VJCVT
{ 0, 0, 0, 0, 0 }, // 951 VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS
{ 0, 0, 0, 0, 0 }, // 952 VSQRTH
{ 0, 0, 0, 0, 0 }, // 953 VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8
{ 0, 0, 0, 0, 0 }, // 954 VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI
{ 1, 1, 2, 1144, 1146 }, // 955 FCONSTD
{ 0, 0, 0, 0, 0 }, // 956 FCONSTH
{ 1, 1, 2, 1146, 1148 }, // 957 FCONSTS
{ 0, 0, 0, 0, 0 }, // 958 VMOVHcc_VMOVH
{ 0, 0, 0, 0, 0 }, // 959 VINSH
{ 1, 10, 11, 1148, 1152 }, // 960 VSTMSIA
{ 1, 10, 11, 1152, 1157 }, // 961 VSTMSDB_UPD_VSTMSIA_UPD
{ 0, 0, 0, 0, 0 }, // 962 VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16
{ 0, 0, 0, 0, 0 }, // 963 VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8
{ 0, 0, 0, 0, 0 }, // 964 VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 0, 0, 0, 0, 0 }, // 965 VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16
{ 0, 0, 0, 0, 0 }, // 966 VMULv2i32_VMULslv2i32
{ 0, 0, 0, 0, 0 }, // 967 VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32
{ 0, 0, 0, 0, 0 }, // 968 VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16
{ 0, 0, 0, 0, 0 }, // 969 VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16
{ 0, 0, 0, 0, 0 }, // 970 VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32
{ 0, 0, 0, 0, 0 }, // 971 VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8
{ 0, 0, 0, 0, 0 }, // 972 VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32
{ 0, 0, 0, 0, 0 }, // 973 VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16
{ 0, 0, 0, 0, 0 }, // 974 VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32
{ 0, 0, 0, 0, 0 }, // 975 VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16
{ 0, 0, 0, 0, 0 }, // 976 VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16
{ 0, 0, 0, 0, 0 }, // 977 VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8
{ 0, 0, 0, 0, 0 }, // 978 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8
{ 0, 0, 0, 0, 0 }, // 979 VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 0, 0, 0, 0, 0 }, // 980 VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 0, 0, 0, 0, 0 }, // 981 VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 0, 0, 0, 0, 0 }, // 982 VPADDh
{ 0, 0, 0, 0, 0 }, // 983 VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed
{ 0, 0, 0, 0, 0 }, // 984 VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed
{ 0, 0, 0, 0, 0 }, // 985 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd
{ 0, 0, 0, 0, 0 }, // 986 VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq
{ 0, 0, 0, 0, 0 }, // 987 NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS
{ 0, 0, 0, 0, 0 }, // 988 VMULhd
{ 0, 0, 0, 0, 0 }, // 989 VMULhq
{ 0, 0, 0, 0, 0 }, // 990 VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh
{ 0, 0, 0, 0, 0 }, // 991 VMOVD0_VMOVQ0
{ 0, 0, 0, 0, 0 }, // 992 VTRNd16_VTRNd32_VTRNd8
{ 0, 0, 0, 0, 0 }, // 993 VLD2d16_VLD2d32_VLD2d8
{ 0, 0, 0, 0, 0 }, // 994 VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 0, 0, 0, 0, 0 }, // 995 VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 996 VLD3LNd32_UPD_VLD3LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 997 VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 998 VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo
{ 0, 0, 0, 0, 0 }, // 999 VLD4LNd32_UPD_VLD4LNq32_UPD
{ 0, 0, 0, 0, 0 }, // 1000 VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1001 AESD_AESE_AESIMC_AESMC
{ 0, 0, 0, 0, 0 }, // 1002 SHA1SU0
{ 0, 0, 0, 0, 0 }, // 1003 SHA1H_SHA1SU1
{ 0, 0, 0, 0, 0 }, // 1004 SHA1C_SHA1M_SHA1P
{ 0, 0, 0, 0, 0 }, // 1005 SHA256SU0
{ 0, 0, 0, 0, 0 }, // 1006 SHA256H_SHA256H2_SHA256SU1
{ 1, 3, 5, 1157, 1162 }, // 1007 t2LDMIA_RET
{ 1, 10, 11, 1162, 1167 }, // 1008 tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ 1, 10, 11, 1167, 1172 }, // 1009 t2LDMDB_t2LDMIA_tLDMIA
{ 0, 0, 0, 0, 0 }, // 1010 t2LDRConstPool_tLDRConstPool
{ 1, 1, 2, 1172, 1174 }, // 1011 tLDRLIT_ga_abs
{ 1, 5, 7, 1174, 1176 }, // 1012 tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 1013 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH
{ 1, 10, 11, 0, 0 }, // 1014 t2STMDB_t2STMIA
{ 1, 10, 11, 1176, 1177 }, // 1015 t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ 1, 1, 2, 1177, 1179 }, // 1016 tMOVSr_tMOVr
{ 1, 1, 2, 1179, 1180 }, // 1017 tMOVi8
{ 0, 0, 0, 0, 0 }, // 1018 t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR
{ 0, 0, 0, 0, 0 }, // 1019 t2CLREX
{ 1, 10, 11, 1180, 1184 }, // 1020 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX
{ 1, 1, 2, 1184, 1186 }, // 1021 t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH
{ 0, 0, 0, 0, 0 }, // 1022 t2CDP_t2CDP2
{ 0, 0, 0, 0, 0 }, // 1023 t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2
{ 0, 0, 0, 0, 0 }, // 1024 t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE
{ 0, 0, 0, 0, 0 }, // 1025 tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT
{ 1, 1, 2, 0, 0 }, // 1026 t2UDF_tUDF
{ 0, 0, 0, 0, 0 }, // 1027 tBKPT_t2DBG
{ 0, 0, 0, 0, 0 }, // 1028 Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP
{ 0, 0, 0, 0, 0 }, // 1029 CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8
{ 0, 0, 0, 0, 0 }, // 1030 JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH
{ 0, 0, 0, 0, 0 }, // 1031 MEMCPY
{ 0, 0, 0, 0, 0 }, // 1032 VSETLNi32
{ 0, 0, 0, 0, 0 }, // 1033 VGETLNi32
{ 0, 0, 0, 0, 0 }, // 1034 VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8
{ 0, 0, 0, 0, 0 }, // 1035 VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1036 VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1037 VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo
{ 0, 0, 0, 0, 0 }, // 1038 VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo
{ 0, 0, 0, 0, 0 }, // 1039 VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo
{ 0, 0, 0, 0, 0 }, // 1040 VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1041 VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1042 VMOVD0
{ 0, uint16_t(~0U), uint16_t(~0U), uint16_t(~0U), uint16_t(~0U) }// end marker
};
static const llvm::InstrItinerary CortexA9Itineraries[] = {
{ 0, 0, 0, 0, 0 }, // 0 NoInstrModel
{ 1, 13, 15, 1186, 1188 }, // 1 IIC_iALUi_WriteALU_ReadALU
{ 1, 13, 15, 1188, 1191 }, // 2 IIC_iALUr_WriteALU_ReadALU_ReadALU
{ 1, 15, 17, 1191, 1195 }, // 3 IIC_iALUsr_WriteALUsi_ReadALU
{ 1, 15, 17, 1195, 1199 }, // 4 IIC_iALUsr_WriteALUSsr_ReadALUsr
{ 1, 17, 20, 0, 0 }, // 5 IIC_Br_WriteBr
{ 1, 17, 20, 0, 0 }, // 6 IIC_Br_WriteBrTbl
{ -1, 20, 25, 1199, 1204 }, // 7 IIC_iLoad_mBr
{ 1, 25, 29, 1204, 1206 }, // 8 IIC_iLoad_i
{ 1, 29, 34, 1206, 1208 }, // 9 IIC_iLoadiALU
{ 1, 34, 36, 1208, 1212 }, // 10 IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 1, 13, 15, 1212, 1213 }, // 11 IIC_iCMOVi_WriteALU
{ 1, 13, 15, 1213, 1214 }, // 12 IIC_iMOVi_WriteALU
{ 1, 36, 40, 1214, 1215 }, // 13 IIC_iCMOVix2
{ 1, 13, 15, 1215, 1217 }, // 14 IIC_iCMOVr_WriteALU
{ 1, 40, 42, 1217, 1220 }, // 15 IIC_iCMOVsr_WriteALU
{ 1, 42, 46, 1220, 1221 }, // 16 IIC_iMOVix2addpc
{ 1, 46, 52, 1221, 1222 }, // 17 IIC_iMOVix2ld
{ 1, 52, 55, 1222, 1223 }, // 18 IIC_iMOVix2
{ 1, 13, 15, 1223, 1225 }, // 19 IIC_iMOVsi_WriteALU
{ 1, 34, 36, 1225, 1228 }, // 20 IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL
{ 1, 13, 15, 1228, 1231 }, // 21 IIC_iALUr_WriteALU_ReadALU
{ 1, 25, 29, 1231, 1234 }, // 22 IIC_iLoad_r
{ 1, 55, 59, 1234, 1237 }, // 23 IIC_iLoad_bh_r
{ 1, 25, 29, 1237, 1240 }, // 24 IIC_iStore_r
{ 1, 59, 63, 1240, 1243 }, // 25 IIC_iStore_bh_r
{ 1, 63, 65, 1243, 1247 }, // 26 IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 1, 63, 65, 1247, 1251 }, // 27 IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 1, 25, 29, 1251, 1255 }, // 28 IIC_iStore_ru
{ 1, 17, 20, 0, 0 }, // 29 IIC_Br
{ 1, 65, 70, 1255, 1256 }, // 30 IIC_VMOVImm
{ 1, 70, 75, 1256, 1258 }, // 31 IIC_fpUNA64
{ 0, 0, 0, 0, 0 }, // 32 IIC_fpUNA16
{ 1, 70, 75, 1258, 1260 }, // 33 IIC_fpUNA32
{ 1, 40, 42, 1260, 1263 }, // 34 IIC_iALUsi_WriteALUsi_ReadALUsr
{ 1, 13, 15, 1263, 1265 }, // 35 IIC_iCMOVsi_WriteALU
{ 1, 40, 42, 1265, 1268 }, // 36 IIC_iALUsi_WriteALUsi_ReadALU
{ 1, 25, 29, 1268, 1272 }, // 37 IIC_iStore_ru_WriteST
{ 1, 13, 15, 1272, 1275 }, // 38 IIC_iALUr_WriteALU
{ 1, 13, 15, 1275, 1277 }, // 39 IIC_iALUi_WriteALU
{ -1, 75, 79, 1277, 1282 }, // 40 IIC_iLoad_mu
{ -1, 79, 84, 1282, 1285 }, // 41 IIC_iPop_Br_WriteBrL
{ 1, 15, 17, 1285, 1289 }, // 42 IIC_iALUsr_WriteALUsr_ReadALUsr
{ 1, 13, 15, 1289, 1291 }, // 43 IIC_iBITi_WriteALU_ReadALU
{ 1, 13, 15, 1291, 1294 }, // 44 IIC_iBITr_WriteALU_ReadALU_ReadALU
{ 1, 15, 17, 1294, 1298 }, // 45 IIC_iBITsr_WriteALUsi_ReadALU
{ 1, 15, 17, 1298, 1302 }, // 46 IIC_iBITsr_WriteALUsr_ReadALUsr
{ 1, 40, 42, 1302, 1304 }, // 47 IIC_iUNAsi
{ 1, 17, 20, 0, 0 }, // 48 IIC_Br_WriteBrL
{ 0, 0, 0, 0, 0 }, // 49 WriteBrL
{ 0, 0, 0, 0, 0 }, // 50 WriteBr
{ 1, 13, 15, 1304, 1306 }, // 51 IIC_iUNAr_WriteALU
{ 1, 13, 15, 1306, 1307 }, // 52 IIC_iCMPi_WriteCMP_ReadALU
{ 1, 13, 15, 1307, 1309 }, // 53 IIC_iCMPr_WriteCMP_ReadALU_ReadALU
{ 1, 15, 17, 1309, 1312 }, // 54 IIC_iCMPsr_WriteCMPsi_ReadALU
{ 1, 15, 17, 1312, 1315 }, // 55 IIC_iCMPsr_WriteCMPsr_ReadALU
{ 1, 84, 89, 1315, 1316 }, // 56 IIC_fpSTAT
{ -1, 75, 79, 1316, 1321 }, // 57 IIC_iLoad_m
{ 1, 55, 59, 1321, 1325 }, // 58 IIC_iLoad_bh_ru
{ 1, 55, 59, 1325, 1328 }, // 59 IIC_iLoad_bh_iu
{ 1, 55, 59, 1328, 1331 }, // 60 IIC_iLoad_bh_si
{ 1, 55, 59, 1331, 1335 }, // 61 IIC_iLoad_d_r
{ 1, 55, 59, 1335, 1339 }, // 62 IIC_iLoad_d_ru
{ 1, 25, 29, 1339, 1343 }, // 63 IIC_iLoad_ru
{ 1, 25, 29, 1343, 1346 }, // 64 IIC_iLoad_iu
{ 1, 89, 93, 1346, 1349 }, // 65 IIC_iLoad_si
{ 1, 13, 15, 1349, 1351 }, // 66 IIC_iMOVr_WriteALU
{ 1, 40, 42, 1351, 1354 }, // 67 IIC_iMOVsr_WriteALU
{ 1, 13, 15, 1354, 1355 }, // 68 IIC_iMVNi_WriteALU
{ 1, 13, 15, 1355, 1357 }, // 69 IIC_iMVNr_WriteALU
{ 1, 15, 17, 1357, 1360 }, // 70 IIC_iMVNsr_WriteALU
{ 1, 40, 42, 1360, 1363 }, // 71 IIC_iBITsi_WriteALUsi_ReadALU
{ 1, 93, 94, 1363, 1365 }, // 72 IIC_Preload_WritePreLd
{ 0, 0, 0, 0, 0 }, // 73 IIC_iDIV_WriteDIV
{ 1, 34, 36, 1365, 1369 }, // 74 IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 75 WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 76 WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 0, 0, 0, 0, 0 }, // 77 WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 78 WriteMUL32_ReadMUL_ReadMUL
{ 1, 34, 36, 1369, 1372 }, // 79 IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL
{ -1, 94, 98, 0, 0 }, // 80 IIC_iStore_m
{ -1, 94, 98, 1372, 1373 }, // 81 IIC_iStore_mu
{ 1, 59, 63, 1373, 1377 }, // 82 IIC_iStore_bh_ru
{ 1, 59, 63, 1377, 1380 }, // 83 IIC_iStore_bh_iu
{ 1, 59, 63, 1380, 1383 }, // 84 IIC_iStore_bh_si
{ 1, 59, 63, 1383, 1386 }, // 85 IIC_iStore_d_r
{ 1, 59, 63, 1386, 1390 }, // 86 IIC_iStore_d_ru
{ 1, 25, 29, 1390, 1393 }, // 87 IIC_iStore_iu
{ 1, 25, 29, 1393, 1396 }, // 88 IIC_iStore_si
{ 1, 40, 42, 1396, 1399 }, // 89 IIC_iEXTAr_WriteALUsr
{ 1, 13, 15, 1399, 1401 }, // 90 IIC_iEXTr_WriteALUsi
{ 1, 13, 15, 1401, 1402 }, // 91 IIC_iTSTi_WriteCMP_ReadALU
{ 1, 13, 15, 1402, 1404 }, // 92 IIC_iTSTr_WriteCMP_ReadALU_ReadALU
{ 1, 15, 17, 1404, 1407 }, // 93 IIC_iTSTsr_WriteCMPsi_ReadALU
{ 1, 15, 17, 1407, 1410 }, // 94 IIC_iTSTsr_WriteCMPsr_ReadALU
{ 1, 63, 65, 1410, 1414 }, // 95 IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 96 WriteALU_ReadALU_ReadALU
{ 1, 65, 70, 1414, 1418 }, // 97 IIC_VABAD
{ 1, 98, 103, 1418, 1422 }, // 98 IIC_VABAQ
{ 1, 65, 70, 1422, 1425 }, // 99 IIC_VSUBi4Q
{ 1, 65, 70, 1425, 1428 }, // 100 IIC_VBIND
{ 1, 103, 108, 1428, 1431 }, // 101 IIC_VBINQ
{ 1, 65, 70, 1431, 1434 }, // 102 IIC_VSUBi4D
{ 1, 65, 70, 1434, 1436 }, // 103 IIC_VUNAD
{ 1, 103, 108, 1436, 1438 }, // 104 IIC_VUNAQ
{ 1, 65, 70, 1438, 1440 }, // 105 IIC_VUNAiQ
{ 1, 65, 70, 1440, 1442 }, // 106 IIC_VUNAiD
{ 1, 108, 113, 1442, 1445 }, // 107 IIC_fpALU64_WriteFPALU64
{ 0, 0, 0, 0, 0 }, // 108 IIC_fpALU16_WriteFPALU32
{ 1, 65, 70, 1445, 1448 }, // 109 IIC_VBINi4D
{ 1, 65, 70, 1448, 1451 }, // 110 IIC_VSHLiD
{ 1, 108, 113, 1451, 1454 }, // 111 IIC_fpALU32_WriteFPALU32
{ 1, 65, 70, 1454, 1457 }, // 112 IIC_VSUBiD
{ 1, 65, 70, 1457, 1460 }, // 113 IIC_VBINiQ
{ 1, 65, 70, 1460, 1463 }, // 114 IIC_VBINiD
{ 1, 65, 70, 1463, 1466 }, // 115 IIC_VCNTiD
{ 1, 103, 108, 1466, 1469 }, // 116 IIC_VCNTiQ
{ 1, 103, 108, 1469, 1473 }, // 117 IIC_VMACD
{ 1, 113, 118, 1473, 1477 }, // 118 IIC_VMACQ
{ 1, 108, 113, 1477, 1479 }, // 119 IIC_fpCMP64
{ 0, 0, 0, 0, 0 }, // 120 IIC_fpCMP16
{ 1, 108, 113, 1479, 1481 }, // 121 IIC_fpCMP32
{ 0, 0, 0, 0, 0 }, // 122 WriteFPCVT
{ 1, 108, 113, 1481, 1483 }, // 123 IIC_fpCVTSH_WriteFPCVT
{ 1, 70, 75, 1483, 1485 }, // 124 IIC_fpCVTHS_WriteFPCVT
{ 1, 108, 113, 1485, 1487 }, // 125 IIC_fpCVTDS_WriteFPCVT
{ 1, 108, 113, 1487, 1489 }, // 126 IIC_fpCVTSD_WriteFPCVT
{ 1, 118, 123, 1489, 1492 }, // 127 IIC_fpDIV64_WriteFPDIV64
{ 0, 0, 0, 0, 0 }, // 128 IIC_fpDIV16_WriteFPDIV32
{ 1, 123, 128, 1492, 1495 }, // 129 IIC_fpDIV32_WriteFPDIV32
{ 1, 128, 133, 1495, 1497 }, // 130 IIC_VMOVIS
{ 1, 65, 70, 1497, 1499 }, // 131 IIC_VMOVD
{ 1, 65, 70, 1499, 1501 }, // 132 IIC_VMOVQ
{ 1, 65, 70, 1501, 1504 }, // 133 IIC_VEXTD
{ 1, 103, 108, 1504, 1507 }, // 134 IIC_VEXTQ
{ 1, 133, 138, 1507, 1511 }, // 135 IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 136 IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 138, 143, 1511, 1515 }, // 137 IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 103, 108, 1515, 1519 }, // 138 IIC_VFMACD
{ 1, 113, 118, 1519, 1523 }, // 139 IIC_VFMACQ
{ 1, 128, 133, 1523, 1525 }, // 140 IIC_VMOVSI
{ 1, 65, 70, 1525, 1528 }, // 141 IIC_VBINi4Q
{ 1, 108, 113, 1528, 1530 }, // 142 IIC_fpCVTDI
{ 1, 143, 149, 1530, 1532 }, // 143 IIC_VLD1dup_WriteVLD2
{ 1, 143, 149, 1532, 1536 }, // 144 IIC_VLD1dupu
{ 1, 143, 149, 1536, 1538 }, // 145 IIC_VLD1dup
{ 1, 143, 149, 1538, 1542 }, // 146 IIC_VLD1dupu_WriteVLD1
{ 1, 149, 155, 1542, 1546 }, // 147 IIC_VLD1ln
{ 1, 149, 155, 1546, 1552 }, // 148 IIC_VLD1lnu_WriteVLD1
{ 1, 149, 155, 1552, 1556 }, // 149 IIC_VLD1ln_WriteVLD1
{ 1, 143, 149, 1556, 1558 }, // 150 IIC_VLD1_WriteVLD1
{ 1, 149, 155, 1558, 1563 }, // 151 IIC_VLD1x4_WriteVLD4
{ 1, 143, 149, 1563, 1567 }, // 152 IIC_VLD1x2u_WriteVLD4
{ 1, 149, 155, 1567, 1571 }, // 153 IIC_VLD1x3_WriteVLD3
{ 1, 143, 149, 1571, 1575 }, // 154 IIC_VLD1x2u_WriteVLD3
{ 1, 143, 149, 1575, 1578 }, // 155 IIC_VLD1u_WriteVLD1
{ 1, 143, 149, 1578, 1581 }, // 156 IIC_VLD1x2_WriteVLD2
{ 1, 143, 149, 1581, 1585 }, // 157 IIC_VLD1x2u_WriteVLD2
{ 1, 143, 149, 1585, 1588 }, // 158 IIC_VLD2dup
{ 1, 143, 149, 1588, 1593 }, // 159 IIC_VLD2dupu_WriteVLD1
{ 1, 143, 149, 1593, 1596 }, // 160 IIC_VLD2dup_WriteVLD2
{ 1, 149, 155, 1596, 1602 }, // 161 IIC_VLD2ln_WriteVLD1
{ 1, 149, 155, 1602, 1610 }, // 162 IIC_VLD2lnu_WriteVLD1
{ 1, 149, 155, 1610, 1618 }, // 163 IIC_VLD2lnu
{ 1, 143, 149, 1618, 1621 }, // 164 IIC_VLD2_WriteVLD2
{ 1, 143, 149, 1621, 1627 }, // 165 IIC_VLD2u_WriteVLD2
{ 1, 149, 155, 1627, 1632 }, // 166 IIC_VLD2x2_WriteVLD4
{ 1, 149, 155, 1632, 1638 }, // 167 IIC_VLD2x2u_WriteVLD4
{ 1, 155, 161, 1638, 1642 }, // 168 IIC_VLD3dup_WriteVLD2
{ 1, 155, 161, 1642, 1648 }, // 169 IIC_VLD3dupu_WriteVLD2
{ 1, 161, 167, 1648, 1656 }, // 170 IIC_VLD3ln_WriteVLD2
{ 1, 161, 167, 1656, 1666 }, // 171 IIC_VLD3lnu_WriteVLD2
{ 1, 155, 161, 1666, 1670 }, // 172 IIC_VLD3_WriteVLD3
{ 1, 155, 161, 1670, 1675 }, // 173 IIC_VLD3u_WriteVLD3
{ 1, 149, 155, 1675, 1680 }, // 174 IIC_VLD4dup
{ 1, 149, 155, 1680, 1685 }, // 175 IIC_VLD4dup_WriteVLD2
{ 1, 149, 155, 1685, 1692 }, // 176 IIC_VLD4dupu_WriteVLD2
{ 1, 167, 173, 1692, 1702 }, // 177 IIC_VLD4ln_WriteVLD2
{ 1, 167, 173, 1702, 1714 }, // 178 IIC_VLD4lnu_WriteVLD2
{ 1, 167, 173, 1714, 1726 }, // 179 IIC_VLD4lnu
{ 1, 155, 161, 1726, 1731 }, // 180 IIC_VLD4_WriteVLD4
{ 1, 155, 161, 1731, 1737 }, // 181 IIC_VLD4u_WriteVLD4
{ -1, 173, 179, 1737, 1741 }, // 182 IIC_fpLoad_mu
{ -1, 173, 179, 1741, 1745 }, // 183 IIC_fpLoad_m
{ 1, 179, 185, 1745, 1747 }, // 184 IIC_fpLoad64
{ 0, 0, 0, 0, 0 }, // 185 IIC_fpLoad16
{ 1, 179, 185, 1747, 1749 }, // 186 IIC_fpLoad32
{ 1, 133, 138, 1749, 1753 }, // 187 IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 188 IIC_fpMAC16
{ 1, 103, 108, 1753, 1757 }, // 189 IIC_VMACi32D
{ 1, 65, 70, 1757, 1761 }, // 190 IIC_VMACi16D
{ 1, 138, 143, 1761, 1765 }, // 191 IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 113, 118, 1765, 1769 }, // 192 IIC_VMACi32Q
{ 1, 103, 108, 1769, 1773 }, // 193 IIC_VMACi16Q
{ 1, 70, 75, 1773, 1776 }, // 194 IIC_fpMOVID_WriteFPMOV
{ 1, 70, 75, 1776, 1778 }, // 195 IIC_fpMOVIS_WriteFPMOV
{ 1, 65, 70, 1778, 1780 }, // 196 IIC_VQUNAiD
{ 1, 65, 70, 1780, 1782 }, // 197 IIC_VMOVN
{ 1, 185, 187, 1782, 1784 }, // 198 IIC_fpMOVSI_WriteFPMOV
{ 1, 185, 187, 1784, 1787 }, // 199 IIC_fpMOVDI_WriteFPMOV
{ 1, 187, 192, 1787, 1790 }, // 200 IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 201 IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 1, 65, 70, 1790, 1793 }, // 202 IIC_VMULi16D
{ 1, 103, 108, 1793, 1796 }, // 203 IIC_VMULi32D
{ 1, 192, 197, 1796, 1799 }, // 204 IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 1, 65, 70, 1799, 1802 }, // 205 IIC_VFMULD
{ 1, 197, 202, 1802, 1805 }, // 206 IIC_VFMULQ
{ 1, 103, 108, 1805, 1808 }, // 207 IIC_VMULi16Q
{ 1, 113, 118, 1808, 1811 }, // 208 IIC_VMULi32Q
{ 1, 65, 70, 1811, 1814 }, // 209 IIC_VSHLiQ
{ 1, 98, 103, 1814, 1817 }, // 210 IIC_VPALiQ
{ 1, 65, 70, 1817, 1820 }, // 211 IIC_VPALiD
{ 1, 65, 70, 1820, 1823 }, // 212 IIC_VPBIND
{ 1, 65, 70, 1823, 1825 }, // 213 IIC_VQUNAiQ
{ 1, 65, 70, 1825, 1828 }, // 214 IIC_VSHLi4Q
{ 1, 65, 70, 1828, 1831 }, // 215 IIC_VSHLi4D
{ 1, 202, 207, 1831, 1834 }, // 216 IIC_VRECSD
{ 1, 207, 212, 1834, 1837 }, // 217 IIC_VRECSQ
{ 0, 0, 0, 0, 0 }, // 218 IIC_VDOTPROD
{ 1, 212, 217, 1837, 1840 }, // 219 IIC_VMOVISL
{ 1, 108, 113, 1840, 1842 }, // 220 IIC_fpCVTID_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 221 IIC_fpCVTIH_WriteFPCVT
{ 1, 108, 113, 1842, 1844 }, // 222 IIC_fpCVTIS_WriteFPCVT
{ 1, 217, 222, 1844, 1846 }, // 223 IIC_fpSQRT64_WriteFPSQRT64
{ 0, 0, 0, 0, 0 }, // 224 IIC_fpSQRT16
{ 1, 222, 227, 1846, 1848 }, // 225 IIC_fpSQRT32_WriteFPSQRT32
{ 1, 227, 233, 1848, 1851 }, // 226 IIC_VST1ln_WriteVST1
{ 1, 227, 233, 1851, 1856 }, // 227 IIC_VST1lnu_WriteVST1
{ 1, 227, 233, 1856, 1859 }, // 228 IIC_VST1_WriteVST1
{ 1, 233, 239, 1859, 1865 }, // 229 IIC_VST1x4_WriteVST4
{ 1, 149, 155, 1865, 1871 }, // 230 IIC_VLD1x4u_WriteVST4
{ 1, 233, 239, 1871, 1876 }, // 231 IIC_VST1x3_WriteVST3
{ 1, 149, 155, 1876, 1881 }, // 232 IIC_VLD1x3u_WriteVST3
{ 1, 143, 149, 1881, 1884 }, // 233 IIC_VLD1u_WriteVST1
{ 1, 233, 239, 1884, 1892 }, // 234 IIC_VST1x4u_WriteVST4
{ 1, 233, 239, 1892, 1899 }, // 235 IIC_VST1x3u_WriteVST3
{ 1, 227, 233, 1899, 1903 }, // 236 IIC_VST1x2_WriteVST2
{ 1, 143, 149, 1903, 1907 }, // 237 IIC_VLD1x2u_WriteVST2
{ 1, 227, 233, 1907, 1911 }, // 238 IIC_VST2ln_WriteVST1
{ 1, 227, 233, 1911, 1917 }, // 239 IIC_VST2lnu_WriteVST1
{ 1, 227, 233, 1917, 1923 }, // 240 IIC_VST2lnu
{ 1, 227, 233, 1923, 1927 }, // 241 IIC_VST2
{ 1, 143, 149, 1927, 1930 }, // 242 IIC_VLD1u_WriteVST2
{ 1, 227, 233, 1930, 1934 }, // 243 IIC_VST2_WriteVST2
{ 1, 239, 245, 1934, 1940 }, // 244 IIC_VST2x2_WriteVST4
{ 1, 239, 245, 1940, 1948 }, // 245 IIC_VST2x2u_WriteVST4
{ 1, 143, 149, 1948, 1951 }, // 246 IIC_VLD1u_WriteVST4
{ 1, 239, 245, 1951, 1956 }, // 247 IIC_VST3ln_WriteVST2
{ 1, 239, 245, 1956, 1963 }, // 248 IIC_VST3lnu_WriteVST2
{ 1, 239, 245, 1963, 1970 }, // 249 IIC_VST3lnu
{ 1, 239, 245, 1970, 1975 }, // 250 IIC_VST3ln
{ 1, 233, 239, 1975, 1980 }, // 251 IIC_VST3_WriteVST3
{ 1, 233, 239, 1980, 1987 }, // 252 IIC_VST3u_WriteVST3
{ 1, 233, 239, 1987, 1993 }, // 253 IIC_VST4ln_WriteVST2
{ 1, 233, 239, 1993, 2001 }, // 254 IIC_VST4lnu_WriteVST2
{ 1, 233, 239, 2001, 2009 }, // 255 IIC_VST4lnu
{ 1, 233, 239, 2009, 2015 }, // 256 IIC_VST4_WriteVST4
{ 1, 233, 239, 2015, 2023 }, // 257 IIC_VST4u_WriteVST4
{ -1, 173, 179, 2023, 2027 }, // 258 IIC_fpStore_mu
{ -1, 173, 179, 2027, 2031 }, // 259 IIC_fpStore_m
{ 1, 179, 185, 2031, 2033 }, // 260 IIC_fpStore64
{ 0, 0, 0, 0, 0 }, // 261 IIC_fpStore16
{ 1, 179, 185, 2033, 2035 }, // 262 IIC_fpStore32
{ 1, 65, 70, 2035, 2038 }, // 263 IIC_VSUBiQ
{ 1, 103, 108, 2038, 2041 }, // 264 IIC_VTB1
{ 1, 245, 250, 2041, 2045 }, // 265 IIC_VTB2
{ 1, 250, 255, 2045, 2050 }, // 266 IIC_VTB3
{ 1, 255, 260, 2050, 2056 }, // 267 IIC_VTB4
{ 1, 103, 108, 2056, 2060 }, // 268 IIC_VTBX1
{ 1, 103, 108, 2060, 2065 }, // 269 IIC_VTBX2
{ 1, 255, 260, 2065, 2071 }, // 270 IIC_VTBX3
{ 1, 260, 265, 2071, 2078 }, // 271 IIC_VTBX4
{ 1, 108, 113, 2078, 2080 }, // 272 IIC_fpCVTDI_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 273 IIC_fpCVTHI_WriteFPCVT
{ 1, 108, 113, 2080, 2082 }, // 274 IIC_fpCVTSI_WriteFPCVT
{ 1, 65, 70, 2082, 2086 }, // 275 IIC_VPERMD
{ 1, 103, 108, 2086, 2090 }, // 276 IIC_VPERMQ
{ 1, 255, 260, 2090, 2094 }, // 277 IIC_VPERMQ3
{ 1, 40, 42, 2094, 2096 }, // 278 IIC_iUNAsi_WriteALU
{ 1, 13, 15, 2096, 2098 }, // 279 IIC_iBITi_WriteALU
{ 1, 40, 42, 2098, 2100 }, // 280 IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU
{ 1, 13, 15, 2100, 2101 }, // 281 IIC_iCMPi_WriteCMP
{ 1, 13, 15, 2101, 2103 }, // 282 IIC_iCMPr_WriteCMP
{ 1, 40, 42, 2103, 2105 }, // 283 IIC_iCMPsi_WriteCMPsi
{ 1, 13, 15, 0, 0 }, // 284 IIC_iALUx
{ 0, 0, 0, 0, 0 }, // 285 WriteLd
{ 1, 55, 59, 2105, 2107 }, // 286 IIC_iLoad_bh_i_WriteLd
{ 1, 55, 59, 2107, 2110 }, // 287 IIC_iLoad_bh_iu_WriteLd
{ 1, 55, 59, 2110, 2113 }, // 288 IIC_iLoad_bh_si_WriteLd
{ 1, 55, 59, 2113, 2117 }, // 289 IIC_iLoad_d_ru_WriteLd
{ 1, 55, 59, 2117, 2120 }, // 290 IIC_iLoad_d_i_WriteLd
{ 1, 25, 29, 2120, 2122 }, // 291 IIC_iLoad_i_WriteLd
{ 1, 25, 29, 2122, 2125 }, // 292 IIC_iLoad_iu_WriteLd
{ 1, 89, 93, 2125, 2128 }, // 293 IIC_iLoad_si_WriteLd
{ 1, 40, 42, 2128, 2130 }, // 294 IIC_iMVNsi_WriteALU
{ 1, 40, 42, 2130, 2133 }, // 295 IIC_iALUsir_WriteALUsi_ReadALU
{ 1, 34, 36, 2133, 2136 }, // 296 IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 1, 34, 36, 2136, 2140 }, // 297 IIC_iMAC32
{ 0, 0, 0, 0, 0 }, // 298 WriteALU
{ 0, 0, 0, 0, 0 }, // 299 WriteST
{ 1, 59, 63, 2140, 2142 }, // 300 IIC_iStore_bh_i_WriteST
{ 1, 59, 63, 2142, 2145 }, // 301 IIC_iStore_bh_iu_WriteST
{ 1, 59, 63, 2145, 2148 }, // 302 IIC_iStore_bh_si_WriteST
{ 1, 59, 63, 2148, 2152 }, // 303 IIC_iStore_d_ru_WriteST
{ 1, 59, 63, 2152, 2155 }, // 304 IIC_iStore_d_r_WriteST
{ 1, 25, 29, 2155, 2158 }, // 305 IIC_iStore_iu_WriteST
{ 1, 25, 29, 2158, 2160 }, // 306 IIC_iStore_i_WriteST
{ 1, 25, 29, 2160, 2163 }, // 307 IIC_iStore_si_WriteST
{ 1, 15, 17, 2163, 2167 }, // 308 IIC_iEXTAsr_WriteALU_ReadALU
{ 1, 13, 15, 2167, 2169 }, // 309 IIC_iEXTr_WriteALU_ReadALU
{ 1, 13, 15, 2169, 2170 }, // 310 IIC_iTSTi_WriteCMP
{ 1, 13, 15, 2170, 2172 }, // 311 IIC_iTSTr_WriteCMP
{ 1, 40, 42, 2172, 2174 }, // 312 IIC_iTSTsi_WriteCMPsi
{ 1, 13, 15, 2174, 2177 }, // 313 IIC_iBITr_WriteALU
{ 1, 55, 59, 2177, 2180 }, // 314 IIC_iLoad_bh_r_WriteLd
{ 1, 25, 29, 2180, 2183 }, // 315 IIC_iLoad_r_WriteLd
{ -1, 75, 79, 2183, 2186 }, // 316 IIC_iPop_WriteLd
{ -1, 94, 98, 0, 0 }, // 317 IIC_iStore_m_WriteST
{ 1, 59, 63, 2186, 2189 }, // 318 IIC_iStore_bh_r_WriteST
{ 1, 25, 29, 2189, 2192 }, // 319 IIC_iStore_r_WriteST
{ 1, 13, 15, 2192, 2194 }, // 320 IIC_iTSTr_WriteALU
{ 1, 13, 15, 2194, 2196 }, // 321 ANDri_ORRri_EORri_BICri
{ 1, 13, 15, 2196, 2199 }, // 322 ANDrr_ORRrr_EORrr_BICrr
{ 1, 15, 17, 2199, 2203 }, // 323 ANDrsi_ORRrsi_EORrsi_BICrsi
{ 1, 15, 17, 2203, 2207 }, // 324 ANDrsr_ORRrsr_EORrsr_BICrsr
{ 1, 13, 15, 2207, 2209 }, // 325 MOVsra_flag_MOVsrl_flag
{ 1, 40, 42, 2209, 2212 }, // 326 MOVsr_MOVsi
{ 1, 15, 17, 2212, 2215 }, // 327 MVNsr
{ 1, 40, 42, 2215, 2218 }, // 328 MOVCCsi_MOVCCsr
{ 1, 13, 15, 2218, 2220 }, // 329 MVNr
{ 1, 36, 40, 2220, 2221 }, // 330 MOVCCi32imm
{ 1, 52, 55, 2221, 2222 }, // 331 MOVi32imm
{ 1, 42, 46, 2222, 2223 }, // 332 MOV_ga_pcrel
{ 1, 46, 52, 2223, 2224 }, // 333 MOV_ga_pcrel_ldr
{ 0, 0, 0, 0, 0 }, // 334 SEL
{ 1, 40, 42, 2224, 2226 }, // 335 BFC_BFI_UBFX_SBFX
{ 1, 34, 36, 2226, 2229 }, // 336 MULv5_MUL_SMMUL_SMMULR
{ 1, 34, 36, 2229, 2233 }, // 337 MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR
{ 1, 63, 65, 2233, 2237 }, // 338 SMULLv5_SMULL_UMULLv5
{ 1, 63, 65, 2237, 2241 }, // 339 UMULL
{ 1, 63, 65, 2241, 2245 }, // 340 SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT
{ 0, 0, 0, 0, 0 }, // 341 SMLAD_SMLADX_SMLSD_SMLSDX
{ 0, 0, 0, 0, 0 }, // 342 SMLALD_SMLSLD
{ 0, 0, 0, 0, 0 }, // 343 SMLALDX_SMLSLDX
{ 0, 0, 0, 0, 0 }, // 344 SMUAD_SMUADX_SMUSD_SMUSDX
{ 1, 34, 36, 2245, 2248 }, // 345 SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT
{ 1, 34, 36, 2248, 2252 }, // 346 SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT
{ 1, 25, 29, 2252, 2255 }, // 347 LDRi12_PICLDR
{ 1, 89, 93, 2255, 2258 }, // 348 LDRrs
{ 1, 55, 59, 2258, 2261 }, // 349 LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB
{ 1, 55, 59, 2261, 2265 }, // 350 LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE
{ 1, 13, 15, 2265, 2267 }, // 351 SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH
{ 1, 13, 15, 2267, 2269 }, // 352 t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH
{ 1, 36, 40, 2269, 2270 }, // 353 t2MOVCCi32imm
{ 1, 52, 55, 2270, 2271 }, // 354 t2MOVi32imm
{ 1, 42, 46, 2271, 2272 }, // 355 t2MOV_ga_pcrel
{ 1, 13, 15, 2272, 2273 }, // 356 t2MOVi16_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 357 t2SEL
{ 1, 40, 42, 2273, 2275 }, // 358 t2BFC_t2UBFX_t2SBFX
{ 1, 13, 15, 2275, 2277 }, // 359 t2BFI
{ 1, 13, 15, 2277, 2280 }, // 360 QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 361 SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 362 t2SSAT_t2SSAT16_t2USAT_t2USAT16
{ 1, 13, 15, 2280, 2283 }, // 363 SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX
{ 0, 0, 0, 0, 0 }, // 364 t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX
{ 1, 13, 15, 2283, 2286 }, // 365 SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX
{ 1, 40, 42, 2286, 2289 }, // 366 SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH
{ 0, 0, 0, 0, 0 }, // 367 t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX
{ 1, 15, 17, 2289, 2293 }, // 368 t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 369 USAD8
{ 0, 0, 0, 0, 0 }, // 370 USADA8
{ 0, 0, 0, 0, 0 }, // 371 SMUSD_SMUSDX
{ 1, 34, 36, 2293, 2296 }, // 372 t2MUL_t2SMMUL_t2SMMULR
{ 1, 34, 36, 2296, 2299 }, // 373 t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT
{ 1, 34, 36, 2299, 2303 }, // 374 t2SMUSD_t2SMUSDX
{ 1, 34, 36, 2303, 2307 }, // 375 t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR
{ 1, 34, 36, 2307, 2311 }, // 376 t2SMUAD_t2SMUADX
{ 0, 0, 0, 0, 0 }, // 377 SMLSD_SMLSDX
{ 1, 34, 36, 2311, 2314 }, // 378 t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT
{ 1, 34, 36, 2314, 2318 }, // 379 t2SMLSD_t2SMLSDX
{ 1, 34, 36, 2318, 2322 }, // 380 t2SMLAD_t2SMLADX
{ 1, 63, 65, 2322, 2326 }, // 381 SMULL
{ 1, 63, 65, 2326, 2330 }, // 382 t2SMULL_t2UMULL
{ 1, 63, 65, 2330, 2334 }, // 383 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL
{ 0, 0, 0, 0, 0 }, // 384 SDIV_UDIV_t2SDIV_t2UDIV
{ 1, 25, 29, 2334, 2337 }, // 385 LDRi12
{ 1, 55, 59, 2337, 2340 }, // 386 LDRBi12
{ 1, 55, 59, 2340, 2343 }, // 387 LDRBrs
{ 1, 29, 34, 2343, 2345 }, // 388 t2LDRpci_pic
{ 1, 25, 29, 2345, 2347 }, // 389 t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi
{ 1, 89, 93, 2347, 2350 }, // 390 t2LDRs
{ 1, 55, 59, 2350, 2352 }, // 391 t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi
{ 1, 55, 59, 2352, 2355 }, // 392 t2LDRBs_t2LDRHs
{ 0, 0, 0, 0, 0 }, // 393 LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic
{ 1, 55, 59, 2355, 2358 }, // 394 tLDRBr_tLDRHr
{ 1, 25, 29, 2358, 2361 }, // 395 tLDRr
{ 1, 55, 59, 2361, 2364 }, // 396 LDRH_PICLDRB_PICLDRH
{ 1, 25, 29, 2364, 2367 }, // 397 LDRcp
{ 0, 0, 0, 0, 0 }, // 398 t2LDRSBpcrel_t2LDRSHpcrel
{ 1, 55, 59, 2367, 2369 }, // 399 t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci
{ 1, 55, 59, 2369, 2372 }, // 400 t2LDRSBs_t2LDRSHs
{ 1, 55, 59, 2372, 2375 }, // 401 tLDRSB_tLDRSH
{ 1, 55, 59, 2375, 2379 }, // 402 LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG
{ 1, 55, 59, 2379, 2382 }, // 403 LDRB_POST_IMM_LDRB_PRE_IMM
{ 1, 25, 29, 2382, 2386 }, // 404 LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG
{ 1, 25, 29, 2386, 2389 }, // 405 LDR_POST_IMM_LDR_PRE_IMM
{ 1, 55, 59, 2389, 2393 }, // 406 LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr
{ 1, 55, 59, 2393, 2396 }, // 407 t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE
{ 1, 25, 29, 2396, 2399 }, // 408 t2LDR_POST_t2LDR_PRE
{ 1, 55, 59, 2399, 2401 }, // 409 t2LDRBT_t2LDRHT
{ 1, 25, 29, 2401, 2403 }, // 410 t2LDRT
{ 1, 55, 59, 2403, 2406 }, // 411 t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE
{ 1, 55, 59, 2406, 2408 }, // 412 t2LDRSBT_t2LDRSHT
{ 1, 55, 59, 2408, 2411 }, // 413 t2LDRDi8
{ 1, 55, 59, 2411, 2415 }, // 414 LDRD
{ 1, 55, 59, 2415, 2419 }, // 415 LDRD_POST_LDRD_PRE
{ 1, 55, 59, 2419, 2423 }, // 416 t2LDRD_POST_t2LDRD_PRE
{ -1, 75, 79, 2423, 2428 }, // 417 LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA
{ -1, 75, 79, 2428, 2433 }, // 418 LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ -1, 20, 25, 2433, 2438 }, // 419 LDMIA_RET_t2LDMIA_RET
{ -1, 79, 84, 2438, 2441 }, // 420 tPOP_RET
{ -1, 75, 79, 2441, 2444 }, // 421 tPOP
{ 1, 25, 29, 2444, 2447 }, // 422 PICSTR_STRi12
{ 1, 59, 63, 2447, 2450 }, // 423 PICSTRB_PICSTRH_STRBi12_STRH
{ 1, 25, 29, 2450, 2453 }, // 424 STRrs
{ 1, 59, 63, 2453, 2456 }, // 425 STRBrs
{ 0, 0, 0, 0, 0 }, // 426 STREX_STREXB_STREXD_STREXH
{ 1, 25, 29, 2456, 2458 }, // 427 t2STRi12_t2STRi8_tSTRi_tSTRspi
{ 1, 25, 29, 2458, 2461 }, // 428 t2STRs
{ 1, 59, 63, 2461, 2463 }, // 429 t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi
{ 1, 59, 63, 2463, 2466 }, // 430 t2STRBs_t2STRHs
{ 1, 59, 63, 2466, 2469 }, // 431 tSTRBr_tSTRHr
{ 1, 25, 29, 2469, 2472 }, // 432 tSTRr
{ 1, 59, 63, 2472, 2476 }, // 433 STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr
{ 1, 59, 63, 2476, 2479 }, // 434 STRB_POST_IMM_STRB_PRE_IMM
{ 1, 25, 29, 2479, 2483 }, // 435 STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx
{ 1, 25, 29, 2483, 2486 }, // 436 STR_POST_IMM_STR_PRE_IMM
{ 0, 0, 0, 0, 0 }, // 437 STRBT_POST_STRT_POST
{ 1, 25, 29, 2486, 2489 }, // 438 t2STR_POST_t2STR_PRE_t2STRH_PRE
{ 1, 59, 63, 2489, 2492 }, // 439 t2STRB_POST_t2STRB_PRE_t2STRH_POST
{ 1, 25, 29, 2492, 2496 }, // 440 t2STR_preidx_t2STRB_preidx_t2STRH_preidx
{ 1, 59, 63, 2496, 2498 }, // 441 t2STRBT_t2STRHT
{ 1, 25, 29, 2498, 2500 }, // 442 t2STRT
{ 1, 59, 63, 2500, 2503 }, // 443 STRD
{ 1, 59, 63, 2503, 2506 }, // 444 t2STRDi8
{ 1, 59, 63, 2506, 2510 }, // 445 t2STRD_POST_t2STRD_PRE
{ 1, 59, 63, 2510, 2514 }, // 446 STRD_POST_STRD_PRE
{ -1, 94, 98, 0, 0 }, // 447 STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA
{ -1, 94, 98, 2514, 2515 }, // 448 STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ -1, 94, 98, 0, 0 }, // 449 tPUSH
{ 1, 25, 29, 2515, 2517 }, // 450 LDRLIT_ga_abs_tLDRLIT_ga_abs
{ 1, 29, 34, 2517, 2519 }, // 451 LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 452 LDRLIT_ga_pcrel_ldr
{ 1, 13, 15, 0, 0 }, // 453 t2IT
{ 0, 0, 0, 0, 0 }, // 454 ITasm
{ 1, 65, 70, 2519, 2522 }, // 455 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq
{ 1, 65, 70, 2522, 2525 }, // 456 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd
{ 1, 65, 70, 2525, 2528 }, // 457 VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16
{ 1, 65, 70, 2528, 2531 }, // 458 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16
{ 1, 103, 108, 2531, 2533 }, // 459 VNEGf32q
{ 1, 65, 70, 2533, 2535 }, // 460 VNEGfd
{ 1, 65, 70, 2535, 2538 }, // 461 VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 1, 65, 70, 2538, 2541 }, // 462 VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 1, 65, 70, 2541, 2544 }, // 463 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16
{ 1, 65, 70, 2544, 2547 }, // 464 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8
{ 1, 65, 70, 2547, 2550 }, // 465 VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16
{ 1, 65, 70, 2550, 2553 }, // 466 VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8
{ 1, 65, 70, 2553, 2554 }, // 467 VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16
{ 1, 65, 70, 2554, 2557 }, // 468 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8
{ 1, 65, 70, 2557, 2560 }, // 469 VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16
{ 1, 65, 70, 2560, 2563 }, // 470 VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 1, 103, 108, 2563, 2566 }, // 471 VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 1, 65, 70, 2566, 2569 }, // 472 VEXTd16_VEXTd32_VEXTd8
{ 1, 103, 108, 2569, 2572 }, // 473 VEXTq16_VEXTq32_VEXTq64_VEXTq8
{ 1, 65, 70, 2572, 2574 }, // 474 VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8
{ 1, 65, 70, 2574, 2576 }, // 475 VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8
{ 1, 65, 70, 2576, 2580 }, // 476 VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 1, 98, 103, 2580, 2584 }, // 477 VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16
{ 1, 98, 103, 2584, 2587 }, // 478 VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16
{ 1, 65, 70, 2587, 2590 }, // 479 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8
{ 1, 65, 70, 2590, 2593 }, // 480 VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd
{ 1, 103, 108, 2593, 2596 }, // 481 VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq
{ 1, 65, 70, 2596, 2599 }, // 482 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16
{ 1, 65, 70, 2599, 2602 }, // 483 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8
{ 0, 0, 0, 0, 0 }, // 484 VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8
{ 1, 65, 70, 2602, 2605 }, // 485 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16
{ 1, 65, 70, 2605, 2608 }, // 486 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 65, 70, 2608, 2610 }, // 487 VABSfd
{ 1, 103, 108, 2610, 2612 }, // 488 VABSfq
{ 1, 65, 70, 2612, 2614 }, // 489 VABSv16i8_VABSv4i32_VABSv8i16
{ 1, 65, 70, 2614, 2616 }, // 490 VABSv2i32_VABSv4i16_VABSv8i8
{ 1, 65, 70, 2616, 2618 }, // 491 VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16
{ 1, 65, 70, 2618, 2620 }, // 492 VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8
{ 1, 65, 70, 2620, 2623 }, // 493 VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16
{ 1, 65, 70, 2623, 2626 }, // 494 VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8
{ 1, 65, 70, 2626, 2628 }, // 495 VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd
{ 1, 103, 108, 2628, 2630 }, // 496 VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq
{ 1, 65, 70, 2630, 2633 }, // 497 VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8
{ 1, 65, 70, 2633, 2636 }, // 498 VSHRNv2i32_VSHRNv4i16_VSHRNv8i8
{ 1, 65, 70, 2636, 2639 }, // 499 VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8
{ 1, 65, 70, 2639, 2642 }, // 500 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8
{ 1, 103, 108, 2642, 2645 }, // 501 VTBL1
{ 1, 103, 108, 2645, 2649 }, // 502 VTBX1
{ 1, 245, 250, 2649, 2653 }, // 503 VTBL2
{ 1, 103, 108, 2653, 2658 }, // 504 VTBX2
{ 1, 250, 255, 2658, 2663 }, // 505 VTBL3_VTBL3Pseudo
{ 1, 255, 260, 2663, 2669 }, // 506 VTBX3_VTBX3Pseudo
{ 1, 255, 260, 2669, 2675 }, // 507 VTBL4_VTBL4Pseudo
{ 1, 260, 265, 2675, 2682 }, // 508 VTBX4_VTBX4Pseudo
{ 0, 0, 0, 0, 0 }, // 509 VSWPd_VSWPq
{ 1, 65, 70, 2682, 2686 }, // 510 VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8
{ 1, 103, 108, 2686, 2690 }, // 511 VTRNq16_VTRNq32_VTRNq8
{ 1, 255, 260, 2690, 2694 }, // 512 VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8
{ 1, 70, 75, 2694, 2696 }, // 513 VABSD_VNEGD
{ 1, 70, 75, 2696, 2698 }, // 514 VABSS_VNEGS
{ 1, 108, 113, 2698, 2700 }, // 515 VCMPD_VCMPZD_VCMPED_VCMPEZD
{ 1, 108, 113, 2700, 2702 }, // 516 VCMPS_VCMPZS_VCMPES_VCMPEZS
{ 1, 108, 113, 2702, 2705 }, // 517 VADDS_VSUBS
{ 1, 65, 70, 2705, 2708 }, // 518 VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd
{ 1, 103, 108, 2708, 2711 }, // 519 VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq
{ 1, 65, 70, 2711, 2714 }, // 520 VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 1, 65, 70, 2714, 2717 }, // 521 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8
{ 1, 65, 70, 2717, 2720 }, // 522 VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh
{ 1, 108, 113, 2720, 2723 }, // 523 VADDD_VSUBD
{ 1, 202, 207, 2723, 2726 }, // 524 VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd
{ 1, 207, 212, 2726, 2729 }, // 525 VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq
{ 1, 192, 197, 2729, 2732 }, // 526 VMULS_VNMULS
{ 1, 65, 70, 2732, 2735 }, // 527 VMULfd
{ 1, 197, 202, 2735, 2738 }, // 528 VMULfq
{ 1, 65, 70, 2738, 2741 }, // 529 VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32
{ 1, 103, 108, 2741, 2744 }, // 530 VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 1, 65, 70, 2744, 2747 }, // 531 VMULslfd
{ 1, 103, 108, 2747, 2750 }, // 532 VMULslfq
{ 1, 103, 108, 2750, 2753 }, // 533 VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64
{ 1, 113, 118, 2753, 2756 }, // 534 VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 0, 0, 0, 0, 0 }, // 535 VMULLp64
{ 1, 133, 138, 2756, 2760 }, // 536 VMLAD_VMLSD_VNMLAD_VNMLSD
{ 0, 0, 0, 0, 0 }, // 537 VMLAH_VMLSH_VNMLAH_VNMLSH
{ 1, 103, 108, 2760, 2764 }, // 538 VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 1, 65, 70, 2764, 2768 }, // 539 VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 1, 138, 143, 2768, 2772 }, // 540 VMLAS_VMLSS_VNMLAS_VNMLSS
{ 1, 103, 108, 2772, 2776 }, // 541 VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd
{ 1, 113, 118, 2776, 2780 }, // 542 VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq
{ 1, 113, 118, 2780, 2784 }, // 543 VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32
{ 1, 103, 108, 2784, 2788 }, // 544 VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16
{ 1, 133, 138, 2788, 2792 }, // 545 VFMAD_VFMSD_VFNMAD_VFNMSD
{ 1, 138, 143, 2792, 2796 }, // 546 VFMAS_VFMSS_VFNMAS_VFNMSS
{ 0, 0, 0, 0, 0 }, // 547 VFNMAH_VFNMSH
{ 1, 103, 108, 2796, 2800 }, // 548 VFMAfd_VFMSfd
{ 1, 113, 118, 2800, 2804 }, // 549 VFMAfq_VFMSfq
{ 0, 0, 0, 0, 0 }, // 550 VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD
{ 0, 0, 0, 0, 0 }, // 551 VCVTBHD
{ 1, 108, 113, 2804, 2806 }, // 552 VCVTBHS_VCVTTHS
{ 1, 70, 75, 2806, 2808 }, // 553 VCVTBSH_VCVTTSH
{ 1, 108, 113, 2808, 2810 }, // 554 VCVTDS
{ 1, 108, 113, 2810, 2812 }, // 555 VCVTSD
{ 1, 103, 108, 2812, 2814 }, // 556 VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq
{ 1, 65, 70, 2814, 2816 }, // 557 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd
{ 1, 108, 113, 2816, 2818 }, // 558 VSITOD_VUITOD
{ 0, 0, 0, 0, 0 }, // 559 VSITOH_VUITOH
{ 1, 108, 113, 2818, 2820 }, // 560 VSITOS_VUITOS
{ 1, 108, 113, 2820, 2822 }, // 561 VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD
{ 0, 0, 0, 0, 0 }, // 562 VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH
{ 1, 108, 113, 2822, 2824 }, // 563 VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS
{ 1, 65, 70, 2824, 2825 }, // 564 VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 1, 70, 75, 2825, 2827 }, // 565 VMOVD_VMOVDcc_FCONSTD
{ 1, 70, 75, 2827, 2829 }, // 566 VMOVS_VMOVScc_FCONSTS
{ 1, 65, 70, 2829, 2832 }, // 567 VMVNd_VMVNq
{ 1, 65, 70, 2832, 2834 }, // 568 VMOVNv2i32_VMOVNv4i16_VMOVNv8i8
{ 1, 65, 70, 2834, 2836 }, // 569 VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16
{ 1, 65, 70, 2836, 2838 }, // 570 VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8
{ 1, 65, 70, 2838, 2840 }, // 571 VDUPLN16d_VDUPLN32d_VDUPLN8d
{ 1, 65, 70, 2840, 2842 }, // 572 VDUPLN16q_VDUPLN32q_VDUPLN8q
{ 1, 128, 133, 2842, 2844 }, // 573 VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q
{ 1, 185, 187, 2844, 2846 }, // 574 VMOVRS
{ 1, 70, 75, 2846, 2848 }, // 575 VMOVSR
{ 1, 212, 217, 2848, 2851 }, // 576 VSETLNi16_VSETLNi32_VSETLNi8
{ 1, 185, 187, 2851, 2854 }, // 577 VMOVRRD_VMOVRRS
{ 1, 70, 75, 2854, 2857 }, // 578 VMOVDRR
{ 1, 70, 75, 2857, 2860 }, // 579 VMOVSRR
{ 1, 128, 133, 2860, 2862 }, // 580 VGETLNi32_VGETLNu16_VGETLNu8
{ 1, 128, 133, 2862, 2864 }, // 581 VGETLNs16_VGETLNs8
{ 1, 84, 89, 2864, 2865 }, // 582 VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR
{ 1, 84, 89, 2865, 2866 }, // 583 VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR
{ 1, 84, 89, 2866, 2867 }, // 584 FMSTAT
{ 1, 179, 185, 2867, 2869 }, // 585 VLDRD
{ 1, 179, 185, 2869, 2871 }, // 586 VLDRS
{ 1, 179, 185, 2871, 2873 }, // 587 VSTRD
{ 1, 179, 185, 2873, 2875 }, // 588 VSTRS
{ -1, 173, 179, 2875, 2879 }, // 589 VLDMQIA
{ -1, 173, 179, 2879, 2883 }, // 590 VSTMQIA
{ -1, 173, 179, 2883, 2887 }, // 591 VLDMDIA_VLDMSIA
{ -1, 173, 179, 2887, 2891 }, // 592 VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD
{ -1, 173, 179, 2891, 2895 }, // 593 VSTMDIA_VSTMSIA
{ -1, 173, 179, 2895, 2899 }, // 594 VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD
{ 1, 143, 149, 2899, 2901 }, // 595 VLD1d16_VLD1d32_VLD1d64_VLD1d8
{ 1, 143, 149, 2901, 2904 }, // 596 VLD1q16_VLD1q32_VLD1q64_VLD1q8
{ 1, 143, 149, 2904, 2907 }, // 597 VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register
{ 1, 143, 149, 2907, 2911 }, // 598 VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register
{ 1, 149, 155, 2911, 2915 }, // 599 VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register
{ 1, 143, 149, 2915, 2919 }, // 600 VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register
{ 1, 149, 155, 2919, 2924 }, // 601 VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register
{ 1, 143, 149, 2924, 2928 }, // 602 VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register
{ 1, 143, 149, 2928, 2931 }, // 603 VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8
{ 1, 149, 155, 2931, 2936 }, // 604 VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo
{ 1, 143, 149, 2936, 2942 }, // 605 VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 1, 149, 155, 2942, 2948 }, // 606 VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register
{ 1, 155, 161, 2948, 2952 }, // 607 VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8
{ 1, 155, 161, 2952, 2956 }, // 608 VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo
{ 1, 155, 161, 2956, 2961 }, // 609 VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD
{ 1, 155, 161, 2961, 2966 }, // 610 VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD
{ 1, 155, 161, 2966, 2971 }, // 611 VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8
{ 1, 155, 161, 2971, 2976 }, // 612 VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo
{ 1, 155, 161, 2976, 2982 }, // 613 VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD
{ 1, 155, 161, 2982, 2988 }, // 614 VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD
{ 1, 143, 149, 2988, 2990 }, // 615 VLD1DUPd16_VLD1DUPd32_VLD1DUPd8
{ 1, 143, 149, 2990, 2992 }, // 616 VLD1DUPq16_VLD1DUPq32_VLD1DUPq8
{ 1, 149, 155, 2992, 2996 }, // 617 VLD1LNd16_VLD1LNd8
{ 1, 149, 155, 2996, 3000 }, // 618 VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo
{ 1, 143, 149, 3000, 3004 }, // 619 VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register
{ 1, 143, 149, 3004, 3008 }, // 620 VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed
{ 1, 149, 155, 3008, 3014 }, // 621 VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD
{ 1, 143, 149, 3014, 3017 }, // 622 VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2
{ 1, 149, 155, 3017, 3023 }, // 623 VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo
{ 1, 149, 155, 3023, 3031 }, // 624 VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD
{ 1, 143, 149, 3031, 3036 }, // 625 VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register
{ 1, 149, 155, 3036, 3044 }, // 626 VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD
{ 1, 155, 161, 3044, 3048 }, // 627 VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo
{ 1, 161, 167, 3048, 3056 }, // 628 VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo
{ 1, 155, 161, 3056, 3062 }, // 629 VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD
{ 1, 161, 167, 3062, 3072 }, // 630 VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD
{ 1, 155, 161, 3072, 3078 }, // 631 VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD
{ 1, 161, 167, 3078, 3088 }, // 632 VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 1, 149, 155, 3088, 3093 }, // 633 VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8
{ 1, 167, 173, 3093, 3103 }, // 634 VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo
{ 1, 149, 155, 3103, 3108 }, // 635 VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo
{ 1, 149, 155, 3108, 3115 }, // 636 VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD
{ 1, 167, 173, 3115, 3127 }, // 637 VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD
{ 1, 149, 155, 3127, 3134 }, // 638 VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD
{ 1, 167, 173, 3134, 3146 }, // 639 VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 1, 227, 233, 3146, 3149 }, // 640 VST1d16_VST1d32_VST1d64_VST1d8
{ 1, 227, 233, 3149, 3153 }, // 641 VST1q16_VST1q32_VST1q64_VST1q8
{ 1, 143, 149, 3153, 3156 }, // 642 VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register
{ 1, 143, 149, 3156, 3160 }, // 643 VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register
{ 1, 233, 239, 3160, 3165 }, // 644 VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo
{ 1, 149, 155, 3165, 3170 }, // 645 VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register
{ 1, 233, 239, 3170, 3177 }, // 646 VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register
{ 1, 233, 239, 3177, 3183 }, // 647 VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo
{ 1, 149, 155, 3183, 3189 }, // 648 VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register
{ 1, 233, 239, 3189, 3197 }, // 649 VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register
{ 1, 227, 233, 3197, 3201 }, // 650 VST2b16_VST2b32_VST2b8
{ 1, 227, 233, 3201, 3205 }, // 651 VST2d16_VST2d32_VST2d8
{ 1, 143, 149, 3205, 3208 }, // 652 VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register
{ 1, 239, 245, 3208, 3214 }, // 653 VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo
{ 1, 143, 149, 3214, 3217 }, // 654 VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register
{ 1, 239, 245, 3217, 3225 }, // 655 VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register
{ 1, 233, 239, 3225, 3230 }, // 656 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo
{ 1, 233, 239, 3230, 3237 }, // 657 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD
{ 1, 233, 239, 3237, 3243 }, // 658 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo
{ 1, 233, 239, 3243, 3251 }, // 659 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD
{ 1, 227, 233, 3251, 3254 }, // 660 VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo
{ 1, 227, 233, 3254, 3259 }, // 661 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD
{ 1, 227, 233, 3259, 3263 }, // 662 VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo
{ 1, 227, 233, 3263, 3269 }, // 663 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD
{ 1, 227, 233, 3269, 3275 }, // 664 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD
{ 1, 239, 245, 3275, 3280 }, // 665 VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 1, 239, 245, 3280, 3285 }, // 666 VST3LNq16Pseudo_VST3LNq32Pseudo
{ 1, 239, 245, 3285, 3292 }, // 667 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD
{ 1, 239, 245, 3292, 3299 }, // 668 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD
{ 1, 233, 239, 3299, 3305 }, // 669 VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo
{ 1, 233, 239, 3305, 3313 }, // 670 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD
{ 1, 233, 239, 3313, 3321 }, // 671 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD
{ 1, 123, 128, 3321, 3324 }, // 672 VDIVS
{ 1, 222, 227, 3324, 3326 }, // 673 VSQRTS
{ 1, 118, 123, 3326, 3329 }, // 674 VDIVD
{ 1, 217, 222, 3329, 3331 }, // 675 VSQRTD
{ 0, 0, 0, 0, 0 }, // 676 ABS
{ 0, 0, 0, 0, 0 }, // 677 COPY
{ 1, 13, 15, 3331, 3332 }, // 678 t2MOVCCi_t2MOVCCi16
{ 1, 13, 15, 3332, 3333 }, // 679 t2MOVi_t2MOVi16
{ 0, 0, 0, 0, 0 }, // 680 t2ABS
{ 0, 0, 0, 0, 0 }, // 681 t2USAD8_t2USADA8
{ 0, 0, 0, 0, 0 }, // 682 t2SDIV_t2UDIV
{ 0, 0, 0, 0, 0 }, // 683 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH
{ 0, 0, 0, 0, 0 }, // 684 LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH
{ 0, 0, 0, 0, 0 }, // 685 LDRBT_POST
{ 1, 40, 42, 3333, 3336 }, // 686 MOVsr
{ 0, 0, 0, 0, 0 }, // 687 t2MOVSsr_t2MOVsr
{ 1, 13, 15, 3336, 3338 }, // 688 t2MOVsra_flag_t2MOVsrl_flag
{ 1, 13, 15, 3338, 3339 }, // 689 MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 13, 15, 3339, 3341 }, // 690 ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri
{ 1, 13, 15, 3341, 3343 }, // 691 CLZ_t2CLZ
{ 1, 13, 15, 3343, 3345 }, // 692 t2ANDri_t2BICri_t2EORri_t2ORRri
{ 1, 13, 15, 3345, 3346 }, // 693 t2MVNCCi
{ 1, 13, 15, 3346, 3347 }, // 694 t2MVNi
{ 1, 13, 15, 3347, 3349 }, // 695 t2MVNr
{ 1, 40, 42, 3349, 3351 }, // 696 t2MVNs
{ 1, 13, 15, 3351, 3354 }, // 697 ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr
{ 0, 0, 0, 0, 0 }, // 698 CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W
{ 1, 13, 15, 3354, 3357 }, // 699 t2ANDrr_t2BICrr_t2EORrr
{ 1, 15, 17, 3357, 3361 }, // 700 ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi
{ 1, 40, 42, 3361, 3364 }, // 701 t2ADDSrs
{ 1, 40, 42, 3364, 3367 }, // 702 t2ADCrs_t2ADDrs_t2SBCrs
{ 1, 40, 42, 3367, 3370 }, // 703 t2ANDrs_t2BICrs_t2EORrs_t2ORRrs
{ 1, 40, 42, 3370, 3373 }, // 704 t2RSBrs
{ 1, 15, 17, 3373, 3377 }, // 705 ADDSrsr
{ 1, 15, 17, 3377, 3381 }, // 706 ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr
{ 1, 13, 15, 3381, 3383 }, // 707 ADR
{ 1, 13, 15, 3383, 3384 }, // 708 MVNi
{ 1, 15, 17, 3384, 3387 }, // 709 MVNsi
{ 0, 0, 0, 0, 0 }, // 710 t2MOVSsi_t2MOVsi
{ 0, 0, 0, 0, 0 }, // 711 ASRi_RORi
{ 0, 0, 0, 0, 0 }, // 712 ASRr_RORr_LSRi_LSRr_LSLi_LSLr
{ 1, 13, 15, 3387, 3388 }, // 713 CMPri_CMNri
{ 1, 13, 15, 3388, 3390 }, // 714 CMPrr_CMNzrr
{ 1, 15, 17, 3390, 3393 }, // 715 CMPrsi_CMNzrsi
{ 1, 15, 17, 3393, 3396 }, // 716 CMPrsr_CMNzrsr
{ 0, 0, 0, 0, 0 }, // 717 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi
{ 1, 13, 15, 3396, 3398 }, // 718 RBIT_REV_REV16_REVSH
{ 1, 13, 15, 3398, 3400 }, // 719 RRX
{ 1, 13, 15, 3400, 3401 }, // 720 TSTri
{ 1, 13, 15, 3401, 3403 }, // 721 TSTrr
{ 1, 15, 17, 3403, 3406 }, // 722 TSTrsi
{ 1, 15, 17, 3406, 3409 }, // 723 TSTrsr
{ 0, 0, 0, 0, 0 }, // 724 MRS_MRSbanked_MRSsys
{ 0, 0, 0, 0, 0 }, // 725 MSR_MSRbanked_MSRi
{ 0, 0, 0, 0, 0 }, // 726 SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW
{ 0, 0, 0, 0, 0 }, // 727 t2STREX_t2STREXB_t2STREXD_t2STREXH
{ 0, 0, 0, 0, 0 }, // 728 STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH
{ 0, 0, 0, 0, 0 }, // 729 t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH
{ 1, 65, 70, 3409, 3412 }, // 730 VABDfd_VABDhd
{ 1, 103, 108, 3412, 3415 }, // 731 VABDfq_VABDhq
{ 1, 70, 75, 3415, 3417 }, // 732 VABSD
{ 0, 0, 0, 0, 0 }, // 733 VABSH
{ 1, 70, 75, 3417, 3419 }, // 734 VABSS
{ 1, 65, 70, 3419, 3421 }, // 735 VABShd
{ 1, 103, 108, 3421, 3423 }, // 736 VABShq
{ 1, 65, 70, 3423, 3426 }, // 737 VACGEfd_VACGEhd_VACGTfd_VACGThd
{ 1, 103, 108, 3426, 3429 }, // 738 VACGEfq_VACGEhq_VACGTfq_VACGThq
{ 0, 0, 0, 0, 0 }, // 739 VADDH_VSUBH
{ 1, 65, 70, 3429, 3432 }, // 740 VADDfd_VSUBfd
{ 1, 65, 70, 3432, 3435 }, // 741 VADDhd_VSUBhd
{ 1, 103, 108, 3435, 3438 }, // 742 VADDfq_VSUBfq
{ 1, 103, 108, 3438, 3441 }, // 743 VADDhq_VSUBhq
{ 0, 0, 0, 0, 0 }, // 744 VLDRH
{ 1, 84, 89, 3441, 3442 }, // 745 VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre
{ 0, 0, 0, 0, 0 }, // 746 VSTRH
{ 1, 84, 89, 3442, 3443 }, // 747 VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre
{ 1, 65, 70, 3443, 3447 }, // 748 VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 1, 65, 70, 3447, 3450 }, // 749 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8
{ 1, 65, 70, 3450, 3453 }, // 750 VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16
{ 1, 65, 70, 3453, 3456 }, // 751 VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16
{ 1, 65, 70, 3456, 3459 }, // 752 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8
{ 1, 65, 70, 3459, 3462 }, // 753 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8
{ 1, 65, 70, 3462, 3465 }, // 754 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16
{ 1, 65, 70, 3465, 3468 }, // 755 VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16
{ 1, 65, 70, 3468, 3471 }, // 756 VANDd_VBICd_VEORd
{ 1, 65, 70, 3471, 3474 }, // 757 VANDq_VBICq_VEORq
{ 1, 65, 70, 3474, 3475 }, // 758 VBICiv2i32_VBICiv4i16
{ 1, 65, 70, 3475, 3476 }, // 759 VBICiv4i32_VBICiv8i16
{ 1, 65, 70, 3476, 3479 }, // 760 VBIFd_VBITd
{ 1, 65, 70, 3479, 3482 }, // 761 VBSLd
{ 1, 65, 70, 3482, 3485 }, // 762 VBIFq_VBITq
{ 1, 103, 108, 3485, 3488 }, // 763 VBSLq
{ 1, 65, 70, 3488, 3491 }, // 764 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16
{ 1, 65, 70, 3491, 3494 }, // 765 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8
{ 1, 103, 108, 3494, 3497 }, // 766 VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 1, 65, 70, 3497, 3500 }, // 767 VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 0, 0, 0, 0, 0 }, // 768 VCMPEH_VCMPEZH_VCMPH_VCMPZH
{ 1, 128, 133, 3500, 3502 }, // 769 VDUP16d_VDUP32d_VDUP8d
{ 0, 0, 0, 0, 0 }, // 770 VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS
{ 1, 103, 108, 3502, 3506 }, // 771 VFMAhd_VFMShd
{ 1, 113, 118, 3506, 3510 }, // 772 VFMAhq_VFMShq
{ 1, 65, 70, 3510, 3513 }, // 773 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8
{ 1, 65, 70, 3513, 3516 }, // 774 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16
{ 1, 65, 70, 3516, 3519 }, // 775 VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 1, 65, 70, 3519, 3522 }, // 776 VPMAXf_VPMAXh_VPMINf_VPMINh
{ 0, 0, 0, 0, 0 }, // 777 VNEGH
{ 1, 65, 70, 3522, 3524 }, // 778 VNEGhd
{ 1, 103, 108, 3524, 3526 }, // 779 VNEGhq
{ 1, 65, 70, 3526, 3529 }, // 780 VNEGs16d_VNEGs32d_VNEGs8d
{ 1, 65, 70, 3529, 3532 }, // 781 VNEGs16q_VNEGs32q_VNEGs8q
{ 1, 65, 70, 3532, 3535 }, // 782 VPADDi16_VPADDi32_VPADDi8
{ 1, 65, 70, 3535, 3538 }, // 783 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8
{ 1, 65, 70, 3538, 3541 }, // 784 VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8
{ 1, 65, 70, 3541, 3543 }, // 785 VQABSv2i32_VQABSv4i16_VQABSv8i8
{ 1, 65, 70, 3543, 3545 }, // 786 VQABSv16i8_VQABSv4i32_VQABSv8i16
{ 1, 103, 108, 3545, 3549 }, // 787 VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 1, 65, 70, 3549, 3553 }, // 788 VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 1, 103, 108, 3553, 3556 }, // 789 VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32
{ 1, 65, 70, 3556, 3559 }, // 790 VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16
{ 1, 113, 118, 3559, 3562 }, // 791 VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 1, 103, 108, 3562, 3565 }, // 792 VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 1, 65, 70, 3565, 3568 }, // 793 VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8
{ 1, 65, 70, 3568, 3571 }, // 794 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16
{ 1, 65, 70, 3571, 3574 }, // 795 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 65, 70, 3574, 3577 }, // 796 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8
{ 1, 233, 239, 3577, 3582 }, // 797 VST1d16T_VST1d32T_VST1d64T_VST1d8T
{ 1, 233, 239, 3582, 3588 }, // 798 VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q
{ 1, 233, 239, 3588, 3594 }, // 799 VST1d64QPseudo
{ 1, 227, 233, 3594, 3597 }, // 800 VST1LNd16_VST1LNd32_VST1LNd8
{ 0, 0, 0, 0, 0 }, // 801 VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8
{ 1, 227, 233, 3597, 3602 }, // 802 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 803 VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8
{ 1, 239, 245, 3602, 3608 }, // 804 VST2q16_VST2q32_VST2q8
{ 1, 227, 233, 3608, 3612 }, // 805 VST2LNd16_VST2LNd32_VST2LNd8
{ 0, 0, 0, 0, 0 }, // 806 VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8
{ 1, 227, 233, 3612, 3616 }, // 807 VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo
{ 1, 227, 233, 3616, 3620 }, // 808 VST2LNq16_VST2LNq32
{ 0, 0, 0, 0, 0 }, // 809 VST2LNqAsm_16_VST2LNqAsm_32
{ 1, 227, 233, 3620, 3626 }, // 810 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 811 VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8
{ 1, 227, 233, 3626, 3632 }, // 812 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 813 VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32
{ 1, 233, 239, 3632, 3637 }, // 814 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8
{ 0, 0, 0, 0, 0 }, // 815 VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8
{ 1, 233, 239, 3637, 3642 }, // 816 VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo
{ 1, 239, 245, 3642, 3647 }, // 817 VST3LNd16_VST3LNd32_VST3LNd8
{ 0, 0, 0, 0, 0 }, // 818 VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8
{ 1, 239, 245, 3647, 3652 }, // 819 VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 820 VST3LNqAsm_16_VST3LNqAsm_32
{ 1, 233, 239, 3652, 3659 }, // 821 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD
{ 0, 0, 0, 0, 0 }, // 822 VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8
{ 1, 239, 245, 3659, 3666 }, // 823 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 824 VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8
{ 1, 239, 245, 3666, 3673 }, // 825 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 826 VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32
{ 1, 233, 239, 3673, 3679 }, // 827 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8
{ 0, 0, 0, 0, 0 }, // 828 VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8
{ 1, 233, 239, 3679, 3685 }, // 829 VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo
{ 1, 233, 239, 3685, 3691 }, // 830 VST4LNd16_VST4LNd32_VST4LNd8
{ 0, 0, 0, 0, 0 }, // 831 VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8
{ 1, 233, 239, 3691, 3697 }, // 832 VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo
{ 1, 233, 239, 3697, 3703 }, // 833 VST4LNq16_VST4LNq32
{ 0, 0, 0, 0, 0 }, // 834 VST4LNqAsm_16_VST4LNqAsm_32
{ 1, 233, 239, 3703, 3711 }, // 835 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD
{ 0, 0, 0, 0, 0 }, // 836 VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8
{ 1, 233, 239, 3711, 3719 }, // 837 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 838 VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8
{ 1, 233, 239, 3719, 3727 }, // 839 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 840 VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32
{ 0, 0, 0, 0, 0 }, // 841 BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier
{ 1, 17, 20, 0, 0 }, // 842 t2HVC_tTRAP_SVC_tSVC
{ 1, 17, 20, 0, 0 }, // 843 t2UDF_tUDF_t__brkdiv0
{ 0, 0, 0, 0, 0 }, // 844 LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY
{ 0, 0, 0, 0, 0 }, // 845 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE
{ 0, 0, 0, 0, 0 }, // 846 LDREX_LDREXB_LDREXD_LDREXH
{ 0, 0, 0, 0, 0 }, // 847 MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked
{ 0, 0, 0, 0, 0 }, // 848 FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD
{ 0, 0, 0, 0, 0 }, // 849 ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK
{ 1, 17, 20, 0, 0 }, // 850 SUBS_PC_LR
{ 1, 17, 20, 0, 0 }, // 851 B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ
{ 0, 0, 0, 0, 0 }, // 852 BXJ
{ 1, 17, 20, 0, 0 }, // 853 tBfar
{ 1, 17, 20, 0, 0 }, // 854 BL_tBL_BL_pred_tBLXi
{ 0, 0, 0, 0, 0 }, // 855 BLXi
{ 1, 17, 20, 0, 0 }, // 856 TPsoft_tTPsoft
{ 1, 17, 20, 0, 0 }, // 857 BLX_BLX_pred_tBLXNSr_tBLXr
{ 1, 17, 20, 0, 0 }, // 858 BCCi64_BCCZi64
{ 1, 17, 20, 0, 0 }, // 859 BR_JTadd_tBR_JTr_t2TBB_t2TBH
{ 1, 17, 20, 0, 0 }, // 860 BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND
{ 0, 0, 0, 0, 0 }, // 861 t2BXJ
{ 1, 17, 20, 0, 0 }, // 862 BR_JTm_i12_BR_JTm_rs
{ 0, 0, 0, 0, 0 }, // 863 tADDframe
{ 1, 13, 15, 3727, 3728 }, // 864 MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8
{ 1, 13, 15, 3728, 3730 }, // 865 MOVr_MOVr_TC_tMOVSr_tMOVr
{ 1, 13, 15, 3730, 3731 }, // 866 MVNCCi_MOVCCi
{ 1, 17, 20, 0, 0 }, // 867 BMOVPCB_CALL_BMOVPCRX_CALL
{ 1, 13, 15, 3731, 3733 }, // 868 MOVCCr
{ 0, 0, 0, 0, 0 }, // 869 tMOVCCr_pseudo
{ 1, 13, 15, 3733, 3735 }, // 870 tMVN
{ 1, 40, 42, 3735, 3738 }, // 871 MOVCCsi
{ 1, 13, 15, 3738, 3740 }, // 872 t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX
{ 0, 0, 0, 0, 0 }, // 873 LSRi_LSLi
{ 1, 13, 15, 3740, 3742 }, // 874 t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror
{ 1, 13, 15, 3742, 3744 }, // 875 t2MOVCCr
{ 1, 13, 15, 3744, 3745 }, // 876 t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 13, 15, 3745, 3747 }, // 877 t2MOVr
{ 1, 40, 42, 3747, 3750 }, // 878 tROR
{ 1, 40, 42, 3750, 3753 }, // 879 t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr
{ 1, 17, 20, 0, 0 }, // 880 MOVPCRX_MOVPCLR
{ 1, 34, 36, 3753, 3756 }, // 881 tMUL
{ 1, 13, 15, 3756, 3759 }, // 882 SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8
{ 0, 0, 0, 0, 0 }, // 883 t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8
{ 1, 13, 15, 3759, 3762 }, // 884 SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8
{ 0, 0, 0, 0, 0 }, // 885 t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8
{ 1, 13, 15, 3762, 3765 }, // 886 QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8
{ 0, 0, 0, 0, 0 }, // 887 t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8
{ 1, 13, 15, 3765, 3768 }, // 888 QASX_QSAX_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 889 t2QASX_t2QSAX_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 890 SSAT_SSAT16_USAT_USAT16
{ 1, 13, 15, 3768, 3771 }, // 891 QADD_QSUB
{ 1, 40, 42, 3771, 3773 }, // 892 SBFX_UBFX
{ 1, 40, 42, 3773, 3775 }, // 893 t2SBFX_t2UBFX
{ 1, 13, 15, 3775, 3777 }, // 894 SXTB_SXTH_UXTB_UXTH
{ 1, 13, 15, 3777, 3779 }, // 895 t2SXTB_t2SXTH_t2UXTB_t2UXTH
{ 1, 13, 15, 3779, 3781 }, // 896 tSXTB_tSXTH_tUXTB_tUXTH
{ 1, 40, 42, 3781, 3784 }, // 897 SXTAB_SXTAH_UXTAB_UXTAH
{ 1, 15, 17, 3784, 3788 }, // 898 t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 899 LDRConstPool_t2LDRConstPool_tLDRConstPool
{ 1, 55, 59, 3788, 3791 }, // 900 PICLDRB_PICLDRH
{ 1, 55, 59, 3791, 3794 }, // 901 PICLDRSB_PICLDRSH
{ 1, 25, 29, 3794, 3798 }, // 902 tLDR_postidx
{ 1, 55, 59, 3798, 3800 }, // 903 tLDRBi_tLDRHi
{ 1, 25, 29, 3800, 3802 }, // 904 tLDRi_tLDRpci_tLDRspi
{ 0, 0, 0, 0, 0 }, // 905 t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel
{ 1, 25, 29, 3802, 3805 }, // 906 LDR_PRE_IMM
{ 1, 55, 59, 3805, 3808 }, // 907 LDRB_PRE_IMM
{ 1, 55, 59, 3808, 3811 }, // 908 t2LDRB_PRE
{ 1, 25, 29, 3811, 3815 }, // 909 LDR_PRE_REG
{ 1, 55, 59, 3815, 3819 }, // 910 LDRB_PRE_REG
{ 1, 55, 59, 3819, 3823 }, // 911 LDRH_PRE
{ 1, 55, 59, 3823, 3827 }, // 912 LDRSB_PRE_LDRSH_PRE
{ 1, 55, 59, 3827, 3830 }, // 913 t2LDRH_PRE
{ 1, 55, 59, 3830, 3833 }, // 914 t2LDRSB_PRE_t2LDRSH_PRE
{ 1, 25, 29, 3833, 3836 }, // 915 t2LDR_PRE
{ 1, 55, 59, 3836, 3840 }, // 916 LDRD_PRE
{ 1, 55, 59, 3840, 3844 }, // 917 t2LDRD_PRE
{ 1, 25, 29, 3844, 3848 }, // 918 LDRT_POST_IMM
{ 1, 55, 59, 3848, 3852 }, // 919 LDRBT_POST_IMM
{ 1, 55, 59, 3852, 3856 }, // 920 LDRHTi
{ 1, 55, 59, 3856, 3860 }, // 921 LDRSBTi_LDRSHTi
{ 1, 55, 59, 3860, 3863 }, // 922 t2LDRB_POST
{ 1, 55, 59, 3863, 3867 }, // 923 LDRH_POST
{ 1, 55, 59, 3867, 3871 }, // 924 LDRSB_POST_LDRSH_POST
{ 1, 25, 29, 3871, 3875 }, // 925 LDR_POST_REG
{ 1, 55, 59, 3875, 3879 }, // 926 LDRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 927 LDRT_POST
{ 1, 93, 94, 3879, 3881 }, // 928 PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs
{ 1, 93, 94, 3881, 3883 }, // 929 PLDrs_PLDWrs
{ 0, 0, 0, 0, 0 }, // 930 VLLDM
{ 1, 59, 63, 3883, 3886 }, // 931 STRBi12_PICSTRB_PICSTRH
{ 1, 59, 63, 3886, 3888 }, // 932 t2STRBT
{ 1, 25, 29, 3888, 3891 }, // 933 STR_PRE_IMM
{ 1, 59, 63, 3891, 3894 }, // 934 STRB_PRE_IMM
{ 1, 25, 29, 3894, 3898 }, // 935 STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx
{ 1, 59, 63, 3898, 3902 }, // 936 STRH_PRE
{ 1, 25, 29, 3902, 3905 }, // 937 t2STRH_PRE_t2STR_PRE
{ 1, 59, 63, 3905, 3908 }, // 938 t2STRB_PRE
{ 1, 59, 63, 3908, 3912 }, // 939 t2STRD_PRE
{ 1, 25, 29, 3912, 3916 }, // 940 STR_PRE_REG
{ 1, 59, 63, 3916, 3920 }, // 941 STRB_PRE_REG
{ 1, 59, 63, 3920, 3924 }, // 942 STRD_PRE
{ 1, 25, 29, 3924, 3928 }, // 943 STRT_POST_IMM
{ 1, 59, 63, 3928, 3932 }, // 944 STRBT_POST_IMM
{ 1, 59, 63, 3932, 3935 }, // 945 t2STRB_POST
{ 1, 59, 63, 3935, 3939 }, // 946 STRBT_POST_REG_STRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 947 VLSTM
{ 0, 0, 0, 0, 0 }, // 948 VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD
{ 1, 108, 113, 3939, 3941 }, // 949 VTOSLS_VTOUHS_VTOULS
{ 1, 108, 113, 3941, 3943 }, // 950 VJCVT
{ 0, 0, 0, 0, 0 }, // 951 VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS
{ 0, 0, 0, 0, 0 }, // 952 VSQRTH
{ 1, 65, 70, 3943, 3946 }, // 953 VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8
{ 0, 0, 0, 0, 0 }, // 954 VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI
{ 1, 70, 75, 3946, 3948 }, // 955 FCONSTD
{ 0, 0, 0, 0, 0 }, // 956 FCONSTH
{ 1, 70, 75, 3948, 3950 }, // 957 FCONSTS
{ 0, 0, 0, 0, 0 }, // 958 VMOVHcc_VMOVH
{ 0, 0, 0, 0, 0 }, // 959 VINSH
{ -1, 173, 179, 3950, 3954 }, // 960 VSTMSIA
{ -1, 173, 179, 3954, 3958 }, // 961 VSTMSDB_UPD_VSTMSIA_UPD
{ 1, 65, 70, 3958, 3961 }, // 962 VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16
{ 1, 65, 70, 3961, 3964 }, // 963 VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8
{ 1, 65, 70, 3964, 3965 }, // 964 VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 1, 65, 70, 3965, 3968 }, // 965 VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16
{ 1, 103, 108, 3968, 3971 }, // 966 VMULv2i32_VMULslv2i32
{ 1, 103, 108, 3971, 3974 }, // 967 VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32
{ 1, 65, 70, 3974, 3977 }, // 968 VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16
{ 1, 103, 108, 3977, 3980 }, // 969 VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16
{ 1, 103, 108, 3980, 3984 }, // 970 VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32
{ 1, 65, 70, 3984, 3988 }, // 971 VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8
{ 1, 103, 108, 3988, 3992 }, // 972 VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32
{ 1, 65, 70, 3992, 3996 }, // 973 VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16
{ 1, 113, 118, 3996, 4000 }, // 974 VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32
{ 1, 103, 108, 4000, 4004 }, // 975 VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16
{ 1, 65, 70, 4004, 4007 }, // 976 VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16
{ 1, 65, 70, 4007, 4010 }, // 977 VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8
{ 1, 65, 70, 4010, 4013 }, // 978 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8
{ 1, 65, 70, 4013, 4016 }, // 979 VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 65, 70, 4016, 4019 }, // 980 VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 1, 65, 70, 4019, 4022 }, // 981 VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 1, 65, 70, 4022, 4025 }, // 982 VPADDh
{ 1, 103, 108, 4025, 4029 }, // 983 VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed
{ 1, 113, 118, 4029, 4033 }, // 984 VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed
{ 1, 65, 70, 4033, 4035 }, // 985 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd
{ 1, 103, 108, 4035, 4037 }, // 986 VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq
{ 0, 0, 0, 0, 0 }, // 987 NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS
{ 1, 65, 70, 4037, 4040 }, // 988 VMULhd
{ 1, 197, 202, 4040, 4043 }, // 989 VMULhq
{ 0, 0, 0, 0, 0 }, // 990 VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh
{ 1, 65, 70, 4043, 4044 }, // 991 VMOVD0_VMOVQ0
{ 1, 65, 70, 4044, 4048 }, // 992 VTRNd16_VTRNd32_VTRNd8
{ 1, 143, 149, 4048, 4051 }, // 993 VLD2d16_VLD2d32_VLD2d8
{ 1, 143, 149, 4051, 4057 }, // 994 VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 1, 161, 167, 4057, 4065 }, // 995 VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo
{ 1, 161, 167, 4065, 4075 }, // 996 VLD3LNd32_UPD_VLD3LNq32_UPD
{ 1, 161, 167, 4075, 4085 }, // 997 VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 1, 167, 173, 4085, 4095 }, // 998 VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo
{ 1, 167, 173, 4095, 4107 }, // 999 VLD4LNd32_UPD_VLD4LNq32_UPD
{ 1, 167, 173, 4107, 4119 }, // 1000 VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1001 AESD_AESE_AESIMC_AESMC
{ 0, 0, 0, 0, 0 }, // 1002 SHA1SU0
{ 0, 0, 0, 0, 0 }, // 1003 SHA1H_SHA1SU1
{ 0, 0, 0, 0, 0 }, // 1004 SHA1C_SHA1M_SHA1P
{ 0, 0, 0, 0, 0 }, // 1005 SHA256SU0
{ 0, 0, 0, 0, 0 }, // 1006 SHA256H_SHA256H2_SHA256SU1
{ -1, 20, 25, 4119, 4124 }, // 1007 t2LDMIA_RET
{ -1, 75, 79, 4124, 4129 }, // 1008 tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ -1, 75, 79, 4129, 4134 }, // 1009 t2LDMDB_t2LDMIA_tLDMIA
{ 0, 0, 0, 0, 0 }, // 1010 t2LDRConstPool_tLDRConstPool
{ 1, 25, 29, 4134, 4136 }, // 1011 tLDRLIT_ga_abs
{ 1, 29, 34, 4136, 4138 }, // 1012 tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 1013 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH
{ -1, 94, 98, 0, 0 }, // 1014 t2STMDB_t2STMIA
{ -1, 94, 98, 4138, 4139 }, // 1015 t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ 1, 13, 15, 4139, 4141 }, // 1016 tMOVSr_tMOVr
{ 1, 13, 15, 4141, 4142 }, // 1017 tMOVi8
{ 0, 0, 0, 0, 0 }, // 1018 t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR
{ 0, 0, 0, 0, 0 }, // 1019 t2CLREX
{ 1, 63, 65, 4142, 4146 }, // 1020 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX
{ 1, 13, 15, 4146, 4148 }, // 1021 t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH
{ 0, 0, 0, 0, 0 }, // 1022 t2CDP_t2CDP2
{ 0, 0, 0, 0, 0 }, // 1023 t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2
{ 0, 0, 0, 0, 0 }, // 1024 t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE
{ 0, 0, 0, 0, 0 }, // 1025 tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT
{ 1, 17, 20, 0, 0 }, // 1026 t2UDF_tUDF
{ 0, 0, 0, 0, 0 }, // 1027 tBKPT_t2DBG
{ 0, 0, 0, 0, 0 }, // 1028 Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP
{ 0, 0, 0, 0, 0 }, // 1029 CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8
{ 0, 0, 0, 0, 0 }, // 1030 JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH
{ 0, 0, 0, 0, 0 }, // 1031 MEMCPY
{ 1, 212, 217, 4148, 4151 }, // 1032 VSETLNi32
{ 1, 128, 133, 4151, 4153 }, // 1033 VGETLNi32
{ 0, 0, 0, 0, 0 }, // 1034 VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8
{ 1, 149, 155, 4153, 4158 }, // 1035 VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD
{ 1, 149, 155, 4158, 4162 }, // 1036 VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD
{ 1, 143, 149, 4162, 4165 }, // 1037 VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo
{ 1, 155, 161, 4165, 4169 }, // 1038 VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo
{ 1, 149, 155, 4169, 4174 }, // 1039 VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo
{ 1, 233, 239, 4174, 4179 }, // 1040 VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD
{ 1, 233, 239, 4179, 4185 }, // 1041 VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD
{ 1, 65, 70, 4185, 4186 }, // 1042 VMOVD0
{ 0, uint16_t(~0U), uint16_t(~0U), uint16_t(~0U), uint16_t(~0U) }// end marker
};
static const llvm::InstrItinerary CortexA8Itineraries[] = {
{ 0, 0, 0, 0, 0 }, // 0 NoInstrModel
{ 1, 265, 266, 4186, 4188 }, // 1 IIC_iALUi_WriteALU_ReadALU
{ 1, 265, 266, 4188, 4191 }, // 2 IIC_iALUr_WriteALU_ReadALU_ReadALU
{ 1, 265, 266, 4191, 4195 }, // 3 IIC_iALUsr_WriteALUsi_ReadALU
{ 1, 265, 266, 4195, 4199 }, // 4 IIC_iALUsr_WriteALUSsr_ReadALUsr
{ 1, 265, 266, 0, 0 }, // 5 IIC_Br_WriteBr
{ 1, 265, 266, 0, 0 }, // 6 IIC_Br_WriteBrTbl
{ -1, 266, 269, 4199, 4204 }, // 7 IIC_iLoad_mBr
{ 1, 269, 271, 4204, 4206 }, // 8 IIC_iLoad_i
{ 1, 271, 274, 4206, 4208 }, // 9 IIC_iLoadiALU
{ 1, 274, 275, 4208, 4212 }, // 10 IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 1, 265, 266, 4212, 4213 }, // 11 IIC_iCMOVi_WriteALU
{ 1, 265, 266, 4213, 4214 }, // 12 IIC_iMOVi_WriteALU
{ 1, 275, 277, 4214, 4216 }, // 13 IIC_iCMOVix2
{ 1, 265, 266, 4216, 4218 }, // 14 IIC_iCMOVr_WriteALU
{ 1, 265, 266, 4218, 4221 }, // 15 IIC_iCMOVsr_WriteALU
{ 1, 277, 280, 4221, 4222 }, // 16 IIC_iMOVix2addpc
{ 1, 280, 283, 4222, 4223 }, // 17 IIC_iMOVix2ld
{ 1, 275, 277, 4223, 4224 }, // 18 IIC_iMOVix2
{ 1, 265, 266, 4224, 4226 }, // 19 IIC_iMOVsi_WriteALU
{ 1, 274, 275, 4226, 4229 }, // 20 IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL
{ 1, 265, 266, 4229, 4232 }, // 21 IIC_iALUr_WriteALU_ReadALU
{ 1, 269, 271, 4232, 4235 }, // 22 IIC_iLoad_r
{ 1, 269, 271, 4235, 4238 }, // 23 IIC_iLoad_bh_r
{ 1, 269, 271, 4238, 4241 }, // 24 IIC_iStore_r
{ 1, 269, 271, 4241, 4244 }, // 25 IIC_iStore_bh_r
{ 1, 283, 284, 4244, 4248 }, // 26 IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 1, 283, 284, 4248, 4252 }, // 27 IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 1, 269, 271, 4252, 4256 }, // 28 IIC_iStore_ru
{ 1, 265, 266, 0, 0 }, // 29 IIC_Br
{ 1, 284, 286, 4256, 4257 }, // 30 IIC_VMOVImm
{ 1, 286, 289, 4257, 4259 }, // 31 IIC_fpUNA64
{ 0, 0, 0, 0, 0 }, // 32 IIC_fpUNA16
{ 1, 284, 286, 4259, 4261 }, // 33 IIC_fpUNA32
{ 1, 265, 266, 4261, 4264 }, // 34 IIC_iALUsi_WriteALUsi_ReadALUsr
{ 1, 265, 266, 4264, 4266 }, // 35 IIC_iCMOVsi_WriteALU
{ 1, 265, 266, 4266, 4269 }, // 36 IIC_iALUsi_WriteALUsi_ReadALU
{ 1, 269, 271, 4269, 4273 }, // 37 IIC_iStore_ru_WriteST
{ 1, 265, 266, 4273, 4276 }, // 38 IIC_iALUr_WriteALU
{ 1, 265, 266, 4276, 4278 }, // 39 IIC_iALUi_WriteALU
{ -1, 289, 291, 4278, 4283 }, // 40 IIC_iLoad_mu
{ -1, 266, 269, 4283, 4286 }, // 41 IIC_iPop_Br_WriteBrL
{ 1, 265, 266, 4286, 4290 }, // 42 IIC_iALUsr_WriteALUsr_ReadALUsr
{ 1, 265, 266, 4290, 4292 }, // 43 IIC_iBITi_WriteALU_ReadALU
{ 1, 265, 266, 4292, 4295 }, // 44 IIC_iBITr_WriteALU_ReadALU_ReadALU
{ 1, 265, 266, 4295, 4299 }, // 45 IIC_iBITsr_WriteALUsi_ReadALU
{ 1, 265, 266, 4299, 4303 }, // 46 IIC_iBITsr_WriteALUsr_ReadALUsr
{ 1, 265, 266, 4303, 4305 }, // 47 IIC_iUNAsi
{ 1, 265, 266, 0, 0 }, // 48 IIC_Br_WriteBrL
{ 0, 0, 0, 0, 0 }, // 49 WriteBrL
{ 0, 0, 0, 0, 0 }, // 50 WriteBr
{ 1, 265, 266, 4305, 4307 }, // 51 IIC_iUNAr_WriteALU
{ 1, 265, 266, 4307, 4308 }, // 52 IIC_iCMPi_WriteCMP_ReadALU
{ 1, 265, 266, 4308, 4310 }, // 53 IIC_iCMPr_WriteCMP_ReadALU_ReadALU
{ 1, 265, 266, 4310, 4313 }, // 54 IIC_iCMPsr_WriteCMPsi_ReadALU
{ 1, 265, 266, 4313, 4316 }, // 55 IIC_iCMPsr_WriteCMPsr_ReadALU
{ 1, 291, 293, 4316, 4317 }, // 56 IIC_fpSTAT
{ -1, 293, 295, 4317, 4322 }, // 57 IIC_iLoad_m
{ 1, 269, 271, 4322, 4326 }, // 58 IIC_iLoad_bh_ru
{ 1, 269, 271, 4326, 4329 }, // 59 IIC_iLoad_bh_iu
{ 1, 295, 297, 4329, 4332 }, // 60 IIC_iLoad_bh_si
{ 1, 269, 271, 4332, 4335 }, // 61 IIC_iLoad_d_r
{ 1, 269, 271, 4335, 4339 }, // 62 IIC_iLoad_d_ru
{ 1, 269, 271, 4339, 4343 }, // 63 IIC_iLoad_ru
{ 1, 269, 271, 4343, 4346 }, // 64 IIC_iLoad_iu
{ 1, 295, 297, 4346, 4349 }, // 65 IIC_iLoad_si
{ 1, 265, 266, 4349, 4351 }, // 66 IIC_iMOVr_WriteALU
{ 1, 265, 266, 4351, 4354 }, // 67 IIC_iMOVsr_WriteALU
{ 1, 265, 266, 4354, 4355 }, // 68 IIC_iMVNi_WriteALU
{ 1, 265, 266, 4355, 4357 }, // 69 IIC_iMVNr_WriteALU
{ 1, 265, 266, 4357, 4360 }, // 70 IIC_iMVNsr_WriteALU
{ 1, 265, 266, 4360, 4363 }, // 71 IIC_iBITsi_WriteALUsi_ReadALU
{ 1, 265, 266, 4363, 4365 }, // 72 IIC_Preload_WritePreLd
{ 0, 0, 0, 0, 0 }, // 73 IIC_iDIV_WriteDIV
{ 1, 274, 275, 4365, 4369 }, // 74 IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 75 WriteMAC32_ReadMUL_ReadMUL_ReadMAC
{ 0, 0, 0, 0, 0 }, // 76 WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
{ 0, 0, 0, 0, 0 }, // 77 WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 78 WriteMUL32_ReadMUL_ReadMUL
{ 1, 297, 298, 4369, 4372 }, // 79 IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL
{ -1, 293, 295, 0, 0 }, // 80 IIC_iStore_m
{ -1, 293, 295, 4372, 4373 }, // 81 IIC_iStore_mu
{ 1, 269, 271, 4373, 4377 }, // 82 IIC_iStore_bh_ru
{ 1, 269, 271, 4377, 4380 }, // 83 IIC_iStore_bh_iu
{ 1, 298, 300, 4380, 4383 }, // 84 IIC_iStore_bh_si
{ 1, 269, 271, 4383, 4386 }, // 85 IIC_iStore_d_r
{ 1, 269, 271, 4386, 4390 }, // 86 IIC_iStore_d_ru
{ 1, 269, 271, 4390, 4393 }, // 87 IIC_iStore_iu
{ 1, 298, 300, 4393, 4396 }, // 88 IIC_iStore_si
{ 1, 265, 266, 4396, 4399 }, // 89 IIC_iEXTAr_WriteALUsr
{ 1, 265, 266, 4399, 4401 }, // 90 IIC_iEXTr_WriteALUsi
{ 1, 265, 266, 4401, 4402 }, // 91 IIC_iTSTi_WriteCMP_ReadALU
{ 1, 265, 266, 4402, 4404 }, // 92 IIC_iTSTr_WriteCMP_ReadALU_ReadALU
{ 1, 265, 266, 4404, 4407 }, // 93 IIC_iTSTsr_WriteCMPsi_ReadALU
{ 1, 265, 266, 4407, 4410 }, // 94 IIC_iTSTsr_WriteCMPsr_ReadALU
{ 1, 283, 284, 4410, 4414 }, // 95 IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL
{ 0, 0, 0, 0, 0 }, // 96 WriteALU_ReadALU_ReadALU
{ 1, 284, 286, 4414, 4418 }, // 97 IIC_VABAD
{ 1, 300, 302, 4418, 4422 }, // 98 IIC_VABAQ
{ 1, 284, 286, 4422, 4425 }, // 99 IIC_VSUBi4Q
{ 1, 284, 286, 4425, 4428 }, // 100 IIC_VBIND
{ 1, 300, 302, 4428, 4431 }, // 101 IIC_VBINQ
{ 1, 284, 286, 4431, 4434 }, // 102 IIC_VSUBi4D
{ 1, 284, 286, 4434, 4436 }, // 103 IIC_VUNAD
{ 1, 300, 302, 4436, 4438 }, // 104 IIC_VUNAQ
{ 1, 284, 286, 4438, 4440 }, // 105 IIC_VUNAiQ
{ 1, 284, 286, 4440, 4442 }, // 106 IIC_VUNAiD
{ 1, 302, 305, 4442, 4445 }, // 107 IIC_fpALU64_WriteFPALU64
{ 0, 0, 0, 0, 0 }, // 108 IIC_fpALU16_WriteFPALU32
{ 1, 284, 286, 4445, 4448 }, // 109 IIC_VBINi4D
{ 1, 284, 286, 4448, 4451 }, // 110 IIC_VSHLiD
{ 1, 284, 286, 4451, 4454 }, // 111 IIC_fpALU32_WriteFPALU32
{ 1, 284, 286, 4454, 4457 }, // 112 IIC_VSUBiD
{ 1, 284, 286, 4457, 4460 }, // 113 IIC_VBINiQ
{ 1, 284, 286, 4460, 4463 }, // 114 IIC_VBINiD
{ 1, 284, 286, 4463, 4466 }, // 115 IIC_VCNTiD
{ 1, 300, 302, 4466, 4469 }, // 116 IIC_VCNTiQ
{ 1, 284, 286, 4469, 4473 }, // 117 IIC_VMACD
{ 1, 300, 302, 4473, 4477 }, // 118 IIC_VMACQ
{ 1, 286, 289, 4477, 4479 }, // 119 IIC_fpCMP64
{ 0, 0, 0, 0, 0 }, // 120 IIC_fpCMP16
{ 1, 284, 286, 4479, 4481 }, // 121 IIC_fpCMP32
{ 0, 0, 0, 0, 0 }, // 122 WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 123 IIC_fpCVTSH_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 124 IIC_fpCVTHS_WriteFPCVT
{ 1, 305, 308, 4481, 4483 }, // 125 IIC_fpCVTDS_WriteFPCVT
{ 1, 308, 311, 4483, 4485 }, // 126 IIC_fpCVTSD_WriteFPCVT
{ 1, 311, 314, 4485, 4488 }, // 127 IIC_fpDIV64_WriteFPDIV64
{ 0, 0, 0, 0, 0 }, // 128 IIC_fpDIV16_WriteFPDIV32
{ 1, 314, 317, 4488, 4491 }, // 129 IIC_fpDIV32_WriteFPDIV32
{ 1, 291, 293, 4491, 4493 }, // 130 IIC_VMOVIS
{ 1, 291, 293, 4493, 4495 }, // 131 IIC_VMOVD
{ 1, 317, 319, 4495, 4497 }, // 132 IIC_VMOVQ
{ 1, 291, 293, 4497, 4500 }, // 133 IIC_VEXTD
{ 1, 317, 319, 4500, 4503 }, // 134 IIC_VEXTQ
{ 1, 319, 322, 4503, 4507 }, // 135 IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 136 IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 284, 286, 4507, 4511 }, // 137 IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 284, 286, 4511, 4515 }, // 138 IIC_VFMACD
{ 1, 300, 302, 4515, 4519 }, // 139 IIC_VFMACQ
{ 1, 291, 293, 4519, 4521 }, // 140 IIC_VMOVSI
{ 1, 284, 286, 4521, 4524 }, // 141 IIC_VBINi4Q
{ 1, 322, 325, 4524, 4526 }, // 142 IIC_fpCVTDI
{ 1, 325, 328, 4526, 4528 }, // 143 IIC_VLD1dup_WriteVLD2
{ 1, 325, 328, 4528, 4532 }, // 144 IIC_VLD1dupu
{ 1, 325, 328, 4532, 4534 }, // 145 IIC_VLD1dup
{ 1, 325, 328, 4534, 4538 }, // 146 IIC_VLD1dupu_WriteVLD1
{ 1, 328, 331, 4538, 4542 }, // 147 IIC_VLD1ln
{ 1, 328, 331, 4542, 4548 }, // 148 IIC_VLD1lnu_WriteVLD1
{ 1, 328, 331, 4548, 4552 }, // 149 IIC_VLD1ln_WriteVLD1
{ 1, 331, 334, 4552, 4554 }, // 150 IIC_VLD1_WriteVLD1
{ 1, 334, 337, 4554, 4559 }, // 151 IIC_VLD1x4_WriteVLD4
{ 1, 331, 334, 4559, 4563 }, // 152 IIC_VLD1x2u_WriteVLD4
{ 1, 334, 337, 4563, 4567 }, // 153 IIC_VLD1x3_WriteVLD3
{ 1, 331, 334, 4567, 4571 }, // 154 IIC_VLD1x2u_WriteVLD3
{ 1, 331, 334, 4571, 4574 }, // 155 IIC_VLD1u_WriteVLD1
{ 1, 331, 334, 4574, 4577 }, // 156 IIC_VLD1x2_WriteVLD2
{ 1, 331, 334, 4577, 4581 }, // 157 IIC_VLD1x2u_WriteVLD2
{ 1, 325, 328, 4581, 4584 }, // 158 IIC_VLD2dup
{ 1, 325, 328, 4584, 4589 }, // 159 IIC_VLD2dupu_WriteVLD1
{ 1, 325, 328, 4589, 4592 }, // 160 IIC_VLD2dup_WriteVLD2
{ 1, 334, 337, 4592, 4598 }, // 161 IIC_VLD2ln_WriteVLD1
{ 1, 334, 337, 4598, 4606 }, // 162 IIC_VLD2lnu_WriteVLD1
{ 1, 334, 337, 4606, 4614 }, // 163 IIC_VLD2lnu
{ 1, 331, 334, 4614, 4617 }, // 164 IIC_VLD2_WriteVLD2
{ 1, 331, 334, 4617, 4623 }, // 165 IIC_VLD2u_WriteVLD2
{ 1, 334, 337, 4623, 4628 }, // 166 IIC_VLD2x2_WriteVLD4
{ 1, 334, 337, 4628, 4634 }, // 167 IIC_VLD2x2u_WriteVLD4
{ 1, 328, 331, 4634, 4638 }, // 168 IIC_VLD3dup_WriteVLD2
{ 1, 328, 331, 4638, 4644 }, // 169 IIC_VLD3dupu_WriteVLD2
{ 1, 337, 340, 4644, 4652 }, // 170 IIC_VLD3ln_WriteVLD2
{ 1, 337, 340, 4652, 4662 }, // 171 IIC_VLD3lnu_WriteVLD2
{ 1, 340, 343, 4662, 4666 }, // 172 IIC_VLD3_WriteVLD3
{ 1, 340, 343, 4666, 4671 }, // 173 IIC_VLD3u_WriteVLD3
{ 1, 328, 331, 4671, 4676 }, // 174 IIC_VLD4dup
{ 1, 328, 331, 4676, 4681 }, // 175 IIC_VLD4dup_WriteVLD2
{ 1, 328, 331, 4681, 4688 }, // 176 IIC_VLD4dupu_WriteVLD2
{ 1, 337, 340, 4688, 4698 }, // 177 IIC_VLD4ln_WriteVLD2
{ 1, 337, 340, 4698, 4710 }, // 178 IIC_VLD4lnu_WriteVLD2
{ 1, 337, 340, 4710, 4722 }, // 179 IIC_VLD4lnu
{ 1, 340, 343, 4722, 4727 }, // 180 IIC_VLD4_WriteVLD4
{ 1, 340, 343, 4727, 4733 }, // 181 IIC_VLD4u_WriteVLD4
{ -1, 343, 348, 4733, 4738 }, // 182 IIC_fpLoad_mu
{ -1, 343, 348, 4738, 4742 }, // 183 IIC_fpLoad_m
{ 1, 348, 351, 4742, 4744 }, // 184 IIC_fpLoad64
{ 0, 0, 0, 0, 0 }, // 185 IIC_fpLoad16
{ 1, 348, 351, 4744, 4746 }, // 186 IIC_fpLoad32
{ 1, 319, 322, 4746, 4750 }, // 187 IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 188 IIC_fpMAC16
{ 1, 300, 302, 4750, 4754 }, // 189 IIC_VMACi32D
{ 1, 284, 286, 4754, 4758 }, // 190 IIC_VMACi16D
{ 1, 284, 286, 4758, 4762 }, // 191 IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL
{ 1, 351, 355, 4762, 4766 }, // 192 IIC_VMACi32Q
{ 1, 300, 302, 4766, 4770 }, // 193 IIC_VMACi16Q
{ 1, 284, 286, 4770, 4773 }, // 194 IIC_fpMOVID_WriteFPMOV
{ 1, 284, 286, 4773, 4775 }, // 195 IIC_fpMOVIS_WriteFPMOV
{ 1, 284, 286, 4775, 4777 }, // 196 IIC_VQUNAiD
{ 1, 284, 286, 4777, 4779 }, // 197 IIC_VMOVN
{ 1, 284, 286, 4779, 4781 }, // 198 IIC_fpMOVSI_WriteFPMOV
{ 1, 284, 286, 4781, 4784 }, // 199 IIC_fpMOVDI_WriteFPMOV
{ 1, 355, 358, 4784, 4787 }, // 200 IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL
{ 0, 0, 0, 0, 0 }, // 201 IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 1, 284, 286, 4787, 4790 }, // 202 IIC_VMULi16D
{ 1, 300, 302, 4790, 4793 }, // 203 IIC_VMULi32D
{ 1, 284, 286, 4793, 4796 }, // 204 IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL
{ 1, 284, 286, 4796, 4799 }, // 205 IIC_VFMULD
{ 1, 284, 286, 4799, 4802 }, // 206 IIC_VFMULQ
{ 1, 300, 302, 4802, 4805 }, // 207 IIC_VMULi16Q
{ 1, 351, 355, 4805, 4808 }, // 208 IIC_VMULi32Q
{ 1, 300, 302, 4808, 4811 }, // 209 IIC_VSHLiQ
{ 1, 300, 302, 4811, 4814 }, // 210 IIC_VPALiQ
{ 1, 284, 286, 4814, 4817 }, // 211 IIC_VPALiD
{ 1, 284, 286, 4817, 4820 }, // 212 IIC_VPBIND
{ 1, 284, 286, 4820, 4822 }, // 213 IIC_VQUNAiQ
{ 1, 300, 302, 4822, 4825 }, // 214 IIC_VSHLi4Q
{ 1, 284, 286, 4825, 4828 }, // 215 IIC_VSHLi4D
{ 1, 284, 286, 4828, 4831 }, // 216 IIC_VRECSD
{ 1, 300, 302, 4831, 4834 }, // 217 IIC_VRECSQ
{ 0, 0, 0, 0, 0 }, // 218 IIC_VDOTPROD
{ 1, 317, 319, 4834, 4837 }, // 219 IIC_VMOVISL
{ 1, 322, 325, 4837, 4839 }, // 220 IIC_fpCVTID_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 221 IIC_fpCVTIH_WriteFPCVT
{ 1, 284, 286, 4839, 4841 }, // 222 IIC_fpCVTIS_WriteFPCVT
{ 1, 311, 314, 4841, 4843 }, // 223 IIC_fpSQRT64_WriteFPSQRT64
{ 0, 0, 0, 0, 0 }, // 224 IIC_fpSQRT16
{ 1, 319, 322, 4843, 4845 }, // 225 IIC_fpSQRT32_WriteFPSQRT32
{ 1, 325, 328, 4845, 4848 }, // 226 IIC_VST1ln_WriteVST1
{ 1, 325, 328, 4848, 4853 }, // 227 IIC_VST1lnu_WriteVST1
{ 1, 331, 334, 4853, 4856 }, // 228 IIC_VST1_WriteVST1
{ 1, 334, 337, 4856, 4862 }, // 229 IIC_VST1x4_WriteVST4
{ 1, 334, 337, 4862, 4868 }, // 230 IIC_VLD1x4u_WriteVST4
{ 1, 334, 337, 4868, 4873 }, // 231 IIC_VST1x3_WriteVST3
{ 1, 334, 337, 4873, 4878 }, // 232 IIC_VLD1x3u_WriteVST3
{ 1, 331, 334, 4878, 4881 }, // 233 IIC_VLD1u_WriteVST1
{ 1, 334, 337, 4881, 4889 }, // 234 IIC_VST1x4u_WriteVST4
{ 1, 334, 337, 4889, 4896 }, // 235 IIC_VST1x3u_WriteVST3
{ 1, 331, 334, 4896, 4900 }, // 236 IIC_VST1x2_WriteVST2
{ 1, 331, 334, 4900, 4904 }, // 237 IIC_VLD1x2u_WriteVST2
{ 1, 331, 334, 4904, 4908 }, // 238 IIC_VST2ln_WriteVST1
{ 1, 331, 334, 4908, 4914 }, // 239 IIC_VST2lnu_WriteVST1
{ 1, 331, 334, 4914, 4920 }, // 240 IIC_VST2lnu
{ 1, 331, 334, 4920, 4924 }, // 241 IIC_VST2
{ 1, 331, 334, 4924, 4927 }, // 242 IIC_VLD1u_WriteVST2
{ 1, 331, 334, 4927, 4931 }, // 243 IIC_VST2_WriteVST2
{ 1, 340, 343, 4931, 4937 }, // 244 IIC_VST2x2_WriteVST4
{ 1, 340, 343, 4937, 4945 }, // 245 IIC_VST2x2u_WriteVST4
{ 1, 331, 334, 4945, 4948 }, // 246 IIC_VLD1u_WriteVST4
{ 1, 334, 337, 4948, 4953 }, // 247 IIC_VST3ln_WriteVST2
{ 1, 334, 337, 4953, 4960 }, // 248 IIC_VST3lnu_WriteVST2
{ 1, 334, 337, 4960, 4967 }, // 249 IIC_VST3lnu
{ 1, 334, 337, 4967, 4972 }, // 250 IIC_VST3ln
{ 1, 334, 337, 4972, 4977 }, // 251 IIC_VST3_WriteVST3
{ 1, 334, 337, 4977, 4984 }, // 252 IIC_VST3u_WriteVST3
{ 1, 340, 343, 4984, 4990 }, // 253 IIC_VST4ln_WriteVST2
{ 1, 340, 343, 4990, 4998 }, // 254 IIC_VST4lnu_WriteVST2
{ 1, 340, 343, 4998, 5006 }, // 255 IIC_VST4lnu
{ 1, 340, 343, 5006, 5012 }, // 256 IIC_VST4_WriteVST4
{ 1, 340, 343, 5012, 5020 }, // 257 IIC_VST4u_WriteVST4
{ -1, 343, 348, 5020, 5025 }, // 258 IIC_fpStore_mu
{ -1, 343, 348, 5025, 5029 }, // 259 IIC_fpStore_m
{ 1, 348, 351, 5029, 5031 }, // 260 IIC_fpStore64
{ 0, 0, 0, 0, 0 }, // 261 IIC_fpStore16
{ 1, 348, 351, 5031, 5033 }, // 262 IIC_fpStore32
{ 1, 284, 286, 5033, 5036 }, // 263 IIC_VSUBiQ
{ 1, 317, 319, 5036, 5039 }, // 264 IIC_VTB1
{ 1, 317, 319, 5039, 5043 }, // 265 IIC_VTB2
{ 1, 358, 362, 5043, 5048 }, // 266 IIC_VTB3
{ 1, 358, 362, 5048, 5054 }, // 267 IIC_VTB4
{ 1, 317, 319, 5054, 5058 }, // 268 IIC_VTBX1
{ 1, 317, 319, 5058, 5063 }, // 269 IIC_VTBX2
{ 1, 358, 362, 5063, 5069 }, // 270 IIC_VTBX3
{ 1, 358, 362, 5069, 5076 }, // 271 IIC_VTBX4
{ 1, 322, 325, 5076, 5078 }, // 272 IIC_fpCVTDI_WriteFPCVT
{ 0, 0, 0, 0, 0 }, // 273 IIC_fpCVTHI_WriteFPCVT
{ 1, 284, 286, 5078, 5080 }, // 274 IIC_fpCVTSI_WriteFPCVT
{ 1, 291, 293, 5080, 5084 }, // 275 IIC_VPERMD
{ 1, 317, 319, 5084, 5088 }, // 276 IIC_VPERMQ
{ 1, 358, 362, 5088, 5092 }, // 277 IIC_VPERMQ3
{ 1, 265, 266, 5092, 5094 }, // 278 IIC_iUNAsi_WriteALU
{ 1, 265, 266, 5094, 5096 }, // 279 IIC_iBITi_WriteALU
{ 1, 265, 266, 5096, 5098 }, // 280 IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU
{ 1, 265, 266, 5098, 5099 }, // 281 IIC_iCMPi_WriteCMP
{ 1, 265, 266, 5099, 5101 }, // 282 IIC_iCMPr_WriteCMP
{ 1, 265, 266, 5101, 5103 }, // 283 IIC_iCMPsi_WriteCMPsi
{ 1, 265, 266, 0, 0 }, // 284 IIC_iALUx
{ 0, 0, 0, 0, 0 }, // 285 WriteLd
{ 1, 269, 271, 5103, 5105 }, // 286 IIC_iLoad_bh_i_WriteLd
{ 1, 269, 271, 5105, 5108 }, // 287 IIC_iLoad_bh_iu_WriteLd
{ 1, 295, 297, 5108, 5111 }, // 288 IIC_iLoad_bh_si_WriteLd
{ 1, 269, 271, 5111, 5115 }, // 289 IIC_iLoad_d_ru_WriteLd
{ 1, 269, 271, 5115, 5117 }, // 290 IIC_iLoad_d_i_WriteLd
{ 1, 269, 271, 5117, 5119 }, // 291 IIC_iLoad_i_WriteLd
{ 1, 269, 271, 5119, 5122 }, // 292 IIC_iLoad_iu_WriteLd
{ 1, 295, 297, 5122, 5125 }, // 293 IIC_iLoad_si_WriteLd
{ 1, 265, 266, 5125, 5127 }, // 294 IIC_iMVNsi_WriteALU
{ 1, 265, 266, 5127, 5130 }, // 295 IIC_iALUsir_WriteALUsi_ReadALU
{ 1, 297, 298, 5130, 5133 }, // 296 IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
{ 1, 274, 275, 5133, 5137 }, // 297 IIC_iMAC32
{ 0, 0, 0, 0, 0 }, // 298 WriteALU
{ 0, 0, 0, 0, 0 }, // 299 WriteST
{ 1, 269, 271, 5137, 5139 }, // 300 IIC_iStore_bh_i_WriteST
{ 1, 269, 271, 5139, 5142 }, // 301 IIC_iStore_bh_iu_WriteST
{ 1, 298, 300, 5142, 5145 }, // 302 IIC_iStore_bh_si_WriteST
{ 1, 269, 271, 5145, 5149 }, // 303 IIC_iStore_d_ru_WriteST
{ 1, 269, 271, 5149, 5152 }, // 304 IIC_iStore_d_r_WriteST
{ 1, 269, 271, 5152, 5155 }, // 305 IIC_iStore_iu_WriteST
{ 1, 269, 271, 5155, 5157 }, // 306 IIC_iStore_i_WriteST
{ 1, 298, 300, 5157, 5160 }, // 307 IIC_iStore_si_WriteST
{ 1, 265, 266, 5160, 5164 }, // 308 IIC_iEXTAsr_WriteALU_ReadALU
{ 1, 265, 266, 5164, 5166 }, // 309 IIC_iEXTr_WriteALU_ReadALU
{ 1, 265, 266, 5166, 5167 }, // 310 IIC_iTSTi_WriteCMP
{ 1, 265, 266, 5167, 5169 }, // 311 IIC_iTSTr_WriteCMP
{ 1, 265, 266, 5169, 5171 }, // 312 IIC_iTSTsi_WriteCMPsi
{ 1, 265, 266, 5171, 5174 }, // 313 IIC_iBITr_WriteALU
{ 1, 269, 271, 5174, 5177 }, // 314 IIC_iLoad_bh_r_WriteLd
{ 1, 269, 271, 5177, 5180 }, // 315 IIC_iLoad_r_WriteLd
{ -1, 289, 291, 5180, 5183 }, // 316 IIC_iPop_WriteLd
{ -1, 293, 295, 0, 0 }, // 317 IIC_iStore_m_WriteST
{ 1, 269, 271, 5183, 5186 }, // 318 IIC_iStore_bh_r_WriteST
{ 1, 269, 271, 5186, 5189 }, // 319 IIC_iStore_r_WriteST
{ 1, 265, 266, 5189, 5191 }, // 320 IIC_iTSTr_WriteALU
{ 1, 265, 266, 5191, 5193 }, // 321 ANDri_ORRri_EORri_BICri
{ 1, 265, 266, 5193, 5196 }, // 322 ANDrr_ORRrr_EORrr_BICrr
{ 1, 265, 266, 5196, 5200 }, // 323 ANDrsi_ORRrsi_EORrsi_BICrsi
{ 1, 265, 266, 5200, 5204 }, // 324 ANDrsr_ORRrsr_EORrsr_BICrsr
{ 1, 265, 266, 5204, 5206 }, // 325 MOVsra_flag_MOVsrl_flag
{ 1, 265, 266, 5206, 5209 }, // 326 MOVsr_MOVsi
{ 1, 265, 266, 5209, 5212 }, // 327 MVNsr
{ 1, 265, 266, 5212, 5215 }, // 328 MOVCCsi_MOVCCsr
{ 1, 265, 266, 5215, 5217 }, // 329 MVNr
{ 1, 275, 277, 5217, 5219 }, // 330 MOVCCi32imm
{ 1, 275, 277, 5219, 5220 }, // 331 MOVi32imm
{ 1, 277, 280, 5220, 5221 }, // 332 MOV_ga_pcrel
{ 1, 280, 283, 5221, 5222 }, // 333 MOV_ga_pcrel_ldr
{ 0, 0, 0, 0, 0 }, // 334 SEL
{ 1, 265, 266, 5222, 5224 }, // 335 BFC_BFI_UBFX_SBFX
{ 1, 274, 275, 5224, 5227 }, // 336 MULv5_MUL_SMMUL_SMMULR
{ 1, 274, 275, 5227, 5231 }, // 337 MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR
{ 1, 283, 284, 5231, 5235 }, // 338 SMULLv5_SMULL_UMULLv5
{ 1, 283, 284, 5235, 5239 }, // 339 UMULL
{ 1, 283, 284, 5239, 5243 }, // 340 SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT
{ 0, 0, 0, 0, 0 }, // 341 SMLAD_SMLADX_SMLSD_SMLSDX
{ 0, 0, 0, 0, 0 }, // 342 SMLALD_SMLSLD
{ 0, 0, 0, 0, 0 }, // 343 SMLALDX_SMLSLDX
{ 0, 0, 0, 0, 0 }, // 344 SMUAD_SMUADX_SMUSD_SMUSDX
{ 1, 297, 298, 5243, 5246 }, // 345 SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT
{ 1, 274, 275, 5246, 5250 }, // 346 SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT
{ 1, 269, 271, 5250, 5253 }, // 347 LDRi12_PICLDR
{ 1, 295, 297, 5253, 5256 }, // 348 LDRrs
{ 1, 269, 271, 5256, 5259 }, // 349 LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB
{ 1, 269, 271, 5259, 5263 }, // 350 LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE
{ 1, 265, 266, 5263, 5265 }, // 351 SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH
{ 1, 265, 266, 5265, 5267 }, // 352 t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH
{ 1, 275, 277, 5267, 5269 }, // 353 t2MOVCCi32imm
{ 1, 275, 277, 5269, 5270 }, // 354 t2MOVi32imm
{ 1, 277, 280, 5270, 5271 }, // 355 t2MOV_ga_pcrel
{ 1, 265, 266, 5271, 5272 }, // 356 t2MOVi16_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 357 t2SEL
{ 1, 265, 266, 5272, 5274 }, // 358 t2BFC_t2UBFX_t2SBFX
{ 1, 265, 266, 5274, 5276 }, // 359 t2BFI
{ 1, 265, 266, 5276, 5279 }, // 360 QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 361 SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 362 t2SSAT_t2SSAT16_t2USAT_t2USAT16
{ 1, 265, 266, 5279, 5282 }, // 363 SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX
{ 0, 0, 0, 0, 0 }, // 364 t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX
{ 1, 265, 266, 5282, 5285 }, // 365 SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX
{ 1, 265, 266, 5285, 5288 }, // 366 SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH
{ 0, 0, 0, 0, 0 }, // 367 t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX
{ 1, 265, 266, 5288, 5292 }, // 368 t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 369 USAD8
{ 0, 0, 0, 0, 0 }, // 370 USADA8
{ 0, 0, 0, 0, 0 }, // 371 SMUSD_SMUSDX
{ 1, 274, 275, 5292, 5295 }, // 372 t2MUL_t2SMMUL_t2SMMULR
{ 1, 297, 298, 5295, 5298 }, // 373 t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT
{ 1, 274, 275, 5298, 5302 }, // 374 t2SMUSD_t2SMUSDX
{ 1, 274, 275, 5302, 5306 }, // 375 t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR
{ 1, 274, 275, 5306, 5310 }, // 376 t2SMUAD_t2SMUADX
{ 0, 0, 0, 0, 0 }, // 377 SMLSD_SMLSDX
{ 1, 297, 298, 5310, 5313 }, // 378 t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT
{ 1, 274, 275, 5313, 5317 }, // 379 t2SMLSD_t2SMLSDX
{ 1, 274, 275, 5317, 5321 }, // 380 t2SMLAD_t2SMLADX
{ 1, 283, 284, 5321, 5325 }, // 381 SMULL
{ 1, 283, 284, 5325, 5329 }, // 382 t2SMULL_t2UMULL
{ 1, 283, 284, 5329, 5333 }, // 383 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL
{ 0, 0, 0, 0, 0 }, // 384 SDIV_UDIV_t2SDIV_t2UDIV
{ 1, 269, 271, 5333, 5336 }, // 385 LDRi12
{ 1, 269, 271, 5336, 5339 }, // 386 LDRBi12
{ 1, 295, 297, 5339, 5342 }, // 387 LDRBrs
{ 1, 271, 274, 5342, 5344 }, // 388 t2LDRpci_pic
{ 1, 269, 271, 5344, 5346 }, // 389 t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi
{ 1, 295, 297, 5346, 5349 }, // 390 t2LDRs
{ 1, 269, 271, 5349, 5351 }, // 391 t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi
{ 1, 295, 297, 5351, 5354 }, // 392 t2LDRBs_t2LDRHs
{ 0, 0, 0, 0, 0 }, // 393 LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic
{ 1, 269, 271, 5354, 5357 }, // 394 tLDRBr_tLDRHr
{ 1, 269, 271, 5357, 5360 }, // 395 tLDRr
{ 1, 269, 271, 5360, 5363 }, // 396 LDRH_PICLDRB_PICLDRH
{ 1, 269, 271, 5363, 5366 }, // 397 LDRcp
{ 0, 0, 0, 0, 0 }, // 398 t2LDRSBpcrel_t2LDRSHpcrel
{ 1, 269, 271, 5366, 5368 }, // 399 t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci
{ 1, 295, 297, 5368, 5371 }, // 400 t2LDRSBs_t2LDRSHs
{ 1, 269, 271, 5371, 5374 }, // 401 tLDRSB_tLDRSH
{ 1, 269, 271, 5374, 5378 }, // 402 LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG
{ 1, 269, 271, 5378, 5381 }, // 403 LDRB_POST_IMM_LDRB_PRE_IMM
{ 1, 269, 271, 5381, 5385 }, // 404 LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG
{ 1, 269, 271, 5385, 5388 }, // 405 LDR_POST_IMM_LDR_PRE_IMM
{ 1, 269, 271, 5388, 5392 }, // 406 LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr
{ 1, 269, 271, 5392, 5395 }, // 407 t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE
{ 1, 269, 271, 5395, 5398 }, // 408 t2LDR_POST_t2LDR_PRE
{ 1, 269, 271, 5398, 5400 }, // 409 t2LDRBT_t2LDRHT
{ 1, 269, 271, 5400, 5402 }, // 410 t2LDRT
{ 1, 269, 271, 5402, 5405 }, // 411 t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE
{ 1, 269, 271, 5405, 5407 }, // 412 t2LDRSBT_t2LDRSHT
{ 1, 269, 271, 5407, 5409 }, // 413 t2LDRDi8
{ 1, 269, 271, 5409, 5412 }, // 414 LDRD
{ 1, 269, 271, 5412, 5416 }, // 415 LDRD_POST_LDRD_PRE
{ 1, 269, 271, 5416, 5420 }, // 416 t2LDRD_POST_t2LDRD_PRE
{ -1, 293, 295, 5420, 5425 }, // 417 LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA
{ -1, 289, 291, 5425, 5430 }, // 418 LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ -1, 266, 269, 5430, 5435 }, // 419 LDMIA_RET_t2LDMIA_RET
{ -1, 266, 269, 5435, 5438 }, // 420 tPOP_RET
{ -1, 289, 291, 5438, 5441 }, // 421 tPOP
{ 1, 269, 271, 5441, 5444 }, // 422 PICSTR_STRi12
{ 1, 269, 271, 5444, 5447 }, // 423 PICSTRB_PICSTRH_STRBi12_STRH
{ 1, 298, 300, 5447, 5450 }, // 424 STRrs
{ 1, 298, 300, 5450, 5453 }, // 425 STRBrs
{ 0, 0, 0, 0, 0 }, // 426 STREX_STREXB_STREXD_STREXH
{ 1, 269, 271, 5453, 5455 }, // 427 t2STRi12_t2STRi8_tSTRi_tSTRspi
{ 1, 298, 300, 5455, 5458 }, // 428 t2STRs
{ 1, 269, 271, 5458, 5460 }, // 429 t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi
{ 1, 298, 300, 5460, 5463 }, // 430 t2STRBs_t2STRHs
{ 1, 269, 271, 5463, 5466 }, // 431 tSTRBr_tSTRHr
{ 1, 269, 271, 5466, 5469 }, // 432 tSTRr
{ 1, 269, 271, 5469, 5473 }, // 433 STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr
{ 1, 269, 271, 5473, 5476 }, // 434 STRB_POST_IMM_STRB_PRE_IMM
{ 1, 269, 271, 5476, 5480 }, // 435 STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx
{ 1, 269, 271, 5480, 5483 }, // 436 STR_POST_IMM_STR_PRE_IMM
{ 0, 0, 0, 0, 0 }, // 437 STRBT_POST_STRT_POST
{ 1, 269, 271, 5483, 5486 }, // 438 t2STR_POST_t2STR_PRE_t2STRH_PRE
{ 1, 269, 271, 5486, 5489 }, // 439 t2STRB_POST_t2STRB_PRE_t2STRH_POST
{ 1, 269, 271, 5489, 5493 }, // 440 t2STR_preidx_t2STRB_preidx_t2STRH_preidx
{ 1, 269, 271, 5493, 5495 }, // 441 t2STRBT_t2STRHT
{ 1, 269, 271, 5495, 5497 }, // 442 t2STRT
{ 1, 269, 271, 5497, 5500 }, // 443 STRD
{ 1, 269, 271, 5500, 5503 }, // 444 t2STRDi8
{ 1, 269, 271, 5503, 5507 }, // 445 t2STRD_POST_t2STRD_PRE
{ 1, 269, 271, 5507, 5511 }, // 446 STRD_POST_STRD_PRE
{ -1, 293, 295, 0, 0 }, // 447 STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA
{ -1, 293, 295, 5511, 5512 }, // 448 STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ -1, 293, 295, 0, 0 }, // 449 tPUSH
{ 1, 269, 271, 5512, 5514 }, // 450 LDRLIT_ga_abs_tLDRLIT_ga_abs
{ 1, 271, 274, 5514, 5516 }, // 451 LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 452 LDRLIT_ga_pcrel_ldr
{ 1, 265, 266, 0, 0 }, // 453 t2IT
{ 0, 0, 0, 0, 0 }, // 454 ITasm
{ 1, 284, 286, 5516, 5519 }, // 455 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq
{ 1, 284, 286, 5519, 5522 }, // 456 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd
{ 1, 284, 286, 5522, 5525 }, // 457 VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16
{ 1, 284, 286, 5525, 5528 }, // 458 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16
{ 1, 300, 302, 5528, 5530 }, // 459 VNEGf32q
{ 1, 284, 286, 5530, 5532 }, // 460 VNEGfd
{ 1, 284, 286, 5532, 5535 }, // 461 VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 1, 300, 302, 5535, 5538 }, // 462 VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 1, 284, 286, 5538, 5541 }, // 463 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16
{ 1, 284, 286, 5541, 5544 }, // 464 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8
{ 1, 284, 286, 5544, 5547 }, // 465 VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16
{ 1, 284, 286, 5547, 5550 }, // 466 VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8
{ 1, 284, 286, 5550, 5551 }, // 467 VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16
{ 1, 284, 286, 5551, 5554 }, // 468 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8
{ 1, 300, 302, 5554, 5557 }, // 469 VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16
{ 1, 284, 286, 5557, 5560 }, // 470 VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 1, 300, 302, 5560, 5563 }, // 471 VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 1, 291, 293, 5563, 5566 }, // 472 VEXTd16_VEXTd32_VEXTd8
{ 1, 317, 319, 5566, 5569 }, // 473 VEXTq16_VEXTq32_VEXTq64_VEXTq8
{ 1, 291, 293, 5569, 5571 }, // 474 VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8
{ 1, 317, 319, 5571, 5573 }, // 475 VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8
{ 1, 284, 286, 5573, 5577 }, // 476 VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 1, 300, 302, 5577, 5581 }, // 477 VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16
{ 1, 300, 302, 5581, 5584 }, // 478 VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16
{ 1, 284, 286, 5584, 5587 }, // 479 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8
{ 1, 284, 286, 5587, 5590 }, // 480 VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd
{ 1, 300, 302, 5590, 5593 }, // 481 VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq
{ 1, 284, 286, 5593, 5596 }, // 482 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16
{ 1, 284, 286, 5596, 5599 }, // 483 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8
{ 0, 0, 0, 0, 0 }, // 484 VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8
{ 1, 300, 302, 5599, 5602 }, // 485 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16
{ 1, 284, 286, 5602, 5605 }, // 486 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 284, 286, 5605, 5607 }, // 487 VABSfd
{ 1, 300, 302, 5607, 5609 }, // 488 VABSfq
{ 1, 284, 286, 5609, 5611 }, // 489 VABSv16i8_VABSv4i32_VABSv8i16
{ 1, 284, 286, 5611, 5613 }, // 490 VABSv2i32_VABSv4i16_VABSv8i8
{ 1, 284, 286, 5613, 5615 }, // 491 VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16
{ 1, 284, 286, 5615, 5617 }, // 492 VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8
{ 1, 284, 286, 5617, 5620 }, // 493 VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16
{ 1, 284, 286, 5620, 5623 }, // 494 VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8
{ 1, 284, 286, 5623, 5625 }, // 495 VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd
{ 1, 300, 302, 5625, 5627 }, // 496 VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq
{ 1, 284, 286, 5627, 5630 }, // 497 VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8
{ 1, 284, 286, 5630, 5633 }, // 498 VSHRNv2i32_VSHRNv4i16_VSHRNv8i8
{ 1, 284, 286, 5633, 5636 }, // 499 VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8
{ 1, 284, 286, 5636, 5639 }, // 500 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8
{ 1, 317, 319, 5639, 5642 }, // 501 VTBL1
{ 1, 317, 319, 5642, 5646 }, // 502 VTBX1
{ 1, 317, 319, 5646, 5650 }, // 503 VTBL2
{ 1, 317, 319, 5650, 5655 }, // 504 VTBX2
{ 1, 358, 362, 5655, 5660 }, // 505 VTBL3_VTBL3Pseudo
{ 1, 358, 362, 5660, 5666 }, // 506 VTBX3_VTBX3Pseudo
{ 1, 358, 362, 5666, 5672 }, // 507 VTBL4_VTBL4Pseudo
{ 1, 358, 362, 5672, 5679 }, // 508 VTBX4_VTBX4Pseudo
{ 0, 0, 0, 0, 0 }, // 509 VSWPd_VSWPq
{ 1, 291, 293, 5679, 5683 }, // 510 VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8
{ 1, 317, 319, 5683, 5687 }, // 511 VTRNq16_VTRNq32_VTRNq8
{ 1, 358, 362, 5687, 5691 }, // 512 VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8
{ 1, 286, 289, 5691, 5693 }, // 513 VABSD_VNEGD
{ 1, 284, 286, 5693, 5695 }, // 514 VABSS_VNEGS
{ 1, 286, 289, 5695, 5697 }, // 515 VCMPD_VCMPZD_VCMPED_VCMPEZD
{ 1, 284, 286, 5697, 5699 }, // 516 VCMPS_VCMPZS_VCMPES_VCMPEZS
{ 1, 284, 286, 5699, 5702 }, // 517 VADDS_VSUBS
{ 1, 284, 286, 5702, 5705 }, // 518 VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd
{ 1, 300, 302, 5705, 5708 }, // 519 VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq
{ 1, 284, 286, 5708, 5711 }, // 520 VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 1, 284, 286, 5711, 5714 }, // 521 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8
{ 1, 284, 286, 5714, 5717 }, // 522 VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh
{ 1, 302, 305, 5717, 5720 }, // 523 VADDD_VSUBD
{ 1, 284, 286, 5720, 5723 }, // 524 VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd
{ 1, 300, 302, 5723, 5726 }, // 525 VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq
{ 1, 284, 286, 5726, 5729 }, // 526 VMULS_VNMULS
{ 1, 284, 286, 5729, 5732 }, // 527 VMULfd
{ 1, 284, 286, 5732, 5735 }, // 528 VMULfq
{ 1, 284, 286, 5735, 5738 }, // 529 VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32
{ 1, 300, 302, 5738, 5741 }, // 530 VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 1, 284, 286, 5741, 5744 }, // 531 VMULslfd
{ 1, 300, 302, 5744, 5747 }, // 532 VMULslfq
{ 1, 300, 302, 5747, 5750 }, // 533 VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64
{ 1, 351, 355, 5750, 5753 }, // 534 VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 0, 0, 0, 0, 0 }, // 535 VMULLp64
{ 1, 319, 322, 5753, 5757 }, // 536 VMLAD_VMLSD_VNMLAD_VNMLSD
{ 0, 0, 0, 0, 0 }, // 537 VMLAH_VMLSH_VNMLAH_VNMLSH
{ 1, 300, 302, 5757, 5761 }, // 538 VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 1, 284, 286, 5761, 5765 }, // 539 VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 1, 284, 286, 5765, 5769 }, // 540 VMLAS_VMLSS_VNMLAS_VNMLSS
{ 1, 284, 286, 5769, 5773 }, // 541 VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd
{ 1, 300, 302, 5773, 5777 }, // 542 VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq
{ 1, 351, 355, 5777, 5781 }, // 543 VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32
{ 1, 300, 302, 5781, 5785 }, // 544 VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16
{ 1, 319, 322, 5785, 5789 }, // 545 VFMAD_VFMSD_VFNMAD_VFNMSD
{ 1, 284, 286, 5789, 5793 }, // 546 VFMAS_VFMSS_VFNMAS_VFNMSS
{ 0, 0, 0, 0, 0 }, // 547 VFNMAH_VFNMSH
{ 1, 284, 286, 5793, 5797 }, // 548 VFMAfd_VFMSfd
{ 1, 300, 302, 5797, 5801 }, // 549 VFMAfq_VFMSfq
{ 0, 0, 0, 0, 0 }, // 550 VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD
{ 0, 0, 0, 0, 0 }, // 551 VCVTBHD
{ 0, 0, 0, 0, 0 }, // 552 VCVTBHS_VCVTTHS
{ 0, 0, 0, 0, 0 }, // 553 VCVTBSH_VCVTTSH
{ 1, 305, 308, 5801, 5803 }, // 554 VCVTDS
{ 1, 308, 311, 5803, 5805 }, // 555 VCVTSD
{ 1, 300, 302, 5805, 5807 }, // 556 VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq
{ 1, 284, 286, 5807, 5809 }, // 557 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd
{ 1, 322, 325, 5809, 5811 }, // 558 VSITOD_VUITOD
{ 0, 0, 0, 0, 0 }, // 559 VSITOH_VUITOH
{ 1, 284, 286, 5811, 5813 }, // 560 VSITOS_VUITOS
{ 1, 322, 325, 5813, 5815 }, // 561 VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD
{ 0, 0, 0, 0, 0 }, // 562 VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH
{ 1, 284, 286, 5815, 5817 }, // 563 VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS
{ 1, 284, 286, 5817, 5818 }, // 564 VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 1, 286, 289, 5818, 5820 }, // 565 VMOVD_VMOVDcc_FCONSTD
{ 1, 284, 286, 5820, 5822 }, // 566 VMOVS_VMOVScc_FCONSTS
{ 1, 284, 286, 5822, 5825 }, // 567 VMVNd_VMVNq
{ 1, 284, 286, 5825, 5827 }, // 568 VMOVNv2i32_VMOVNv4i16_VMOVNv8i8
{ 1, 284, 286, 5827, 5829 }, // 569 VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16
{ 1, 284, 286, 5829, 5831 }, // 570 VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8
{ 1, 291, 293, 5831, 5833 }, // 571 VDUPLN16d_VDUPLN32d_VDUPLN8d
{ 1, 317, 319, 5833, 5835 }, // 572 VDUPLN16q_VDUPLN32q_VDUPLN8q
{ 1, 291, 293, 5835, 5837 }, // 573 VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q
{ 1, 284, 286, 5837, 5839 }, // 574 VMOVRS
{ 1, 284, 286, 5839, 5841 }, // 575 VMOVSR
{ 1, 317, 319, 5841, 5844 }, // 576 VSETLNi16_VSETLNi32_VSETLNi8
{ 1, 284, 286, 5844, 5847 }, // 577 VMOVRRD_VMOVRRS
{ 1, 284, 286, 5847, 5850 }, // 578 VMOVDRR
{ 1, 284, 286, 5850, 5853 }, // 579 VMOVSRR
{ 1, 291, 293, 5853, 5855 }, // 580 VGETLNi32_VGETLNu16_VGETLNu8
{ 1, 291, 293, 5855, 5857 }, // 581 VGETLNs16_VGETLNs8
{ 1, 291, 293, 5857, 5858 }, // 582 VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR
{ 1, 291, 293, 5858, 5859 }, // 583 VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR
{ 1, 291, 293, 5859, 5860 }, // 584 FMSTAT
{ 1, 348, 351, 5860, 5862 }, // 585 VLDRD
{ 1, 348, 351, 5862, 5864 }, // 586 VLDRS
{ 1, 348, 351, 5864, 5866 }, // 587 VSTRD
{ 1, 348, 351, 5866, 5868 }, // 588 VSTRS
{ -1, 343, 348, 5868, 5872 }, // 589 VLDMQIA
{ -1, 343, 348, 5872, 5876 }, // 590 VSTMQIA
{ -1, 343, 348, 5876, 5880 }, // 591 VLDMDIA_VLDMSIA
{ -1, 343, 348, 5880, 5885 }, // 592 VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD
{ -1, 343, 348, 5885, 5889 }, // 593 VSTMDIA_VSTMSIA
{ -1, 343, 348, 5889, 5894 }, // 594 VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD
{ 1, 331, 334, 5894, 5896 }, // 595 VLD1d16_VLD1d32_VLD1d64_VLD1d8
{ 1, 331, 334, 5896, 5899 }, // 596 VLD1q16_VLD1q32_VLD1q64_VLD1q8
{ 1, 331, 334, 5899, 5902 }, // 597 VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register
{ 1, 331, 334, 5902, 5906 }, // 598 VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register
{ 1, 334, 337, 5906, 5910 }, // 599 VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register
{ 1, 331, 334, 5910, 5914 }, // 600 VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register
{ 1, 334, 337, 5914, 5919 }, // 601 VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register
{ 1, 331, 334, 5919, 5923 }, // 602 VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register
{ 1, 331, 334, 5923, 5926 }, // 603 VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8
{ 1, 334, 337, 5926, 5931 }, // 604 VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo
{ 1, 331, 334, 5931, 5937 }, // 605 VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 1, 334, 337, 5937, 5943 }, // 606 VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register
{ 1, 340, 343, 5943, 5947 }, // 607 VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8
{ 1, 340, 343, 5947, 5951 }, // 608 VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo
{ 1, 340, 343, 5951, 5956 }, // 609 VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD
{ 1, 340, 343, 5956, 5961 }, // 610 VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD
{ 1, 340, 343, 5961, 5966 }, // 611 VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8
{ 1, 340, 343, 5966, 5971 }, // 612 VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo
{ 1, 340, 343, 5971, 5977 }, // 613 VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD
{ 1, 340, 343, 5977, 5983 }, // 614 VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD
{ 1, 325, 328, 5983, 5985 }, // 615 VLD1DUPd16_VLD1DUPd32_VLD1DUPd8
{ 1, 325, 328, 5985, 5987 }, // 616 VLD1DUPq16_VLD1DUPq32_VLD1DUPq8
{ 1, 328, 331, 5987, 5991 }, // 617 VLD1LNd16_VLD1LNd8
{ 1, 328, 331, 5991, 5995 }, // 618 VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo
{ 1, 325, 328, 5995, 5999 }, // 619 VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register
{ 1, 325, 328, 5999, 6003 }, // 620 VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed
{ 1, 328, 331, 6003, 6009 }, // 621 VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD
{ 1, 325, 328, 6009, 6012 }, // 622 VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2
{ 1, 334, 337, 6012, 6018 }, // 623 VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo
{ 1, 334, 337, 6018, 6026 }, // 624 VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD
{ 1, 325, 328, 6026, 6031 }, // 625 VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register
{ 1, 334, 337, 6031, 6039 }, // 626 VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD
{ 1, 328, 331, 6039, 6043 }, // 627 VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo
{ 1, 337, 340, 6043, 6051 }, // 628 VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo
{ 1, 328, 331, 6051, 6057 }, // 629 VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD
{ 1, 337, 340, 6057, 6067 }, // 630 VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD
{ 1, 328, 331, 6067, 6073 }, // 631 VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD
{ 1, 337, 340, 6073, 6083 }, // 632 VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 1, 328, 331, 6083, 6088 }, // 633 VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8
{ 1, 337, 340, 6088, 6098 }, // 634 VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo
{ 1, 328, 331, 6098, 6103 }, // 635 VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo
{ 1, 328, 331, 6103, 6110 }, // 636 VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD
{ 1, 337, 340, 6110, 6122 }, // 637 VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD
{ 1, 328, 331, 6122, 6129 }, // 638 VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD
{ 1, 337, 340, 6129, 6141 }, // 639 VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 1, 331, 334, 6141, 6144 }, // 640 VST1d16_VST1d32_VST1d64_VST1d8
{ 1, 331, 334, 6144, 6148 }, // 641 VST1q16_VST1q32_VST1q64_VST1q8
{ 1, 331, 334, 6148, 6151 }, // 642 VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register
{ 1, 331, 334, 6151, 6155 }, // 643 VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register
{ 1, 334, 337, 6155, 6160 }, // 644 VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo
{ 1, 334, 337, 6160, 6165 }, // 645 VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register
{ 1, 334, 337, 6165, 6172 }, // 646 VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register
{ 1, 334, 337, 6172, 6178 }, // 647 VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo
{ 1, 334, 337, 6178, 6184 }, // 648 VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register
{ 1, 334, 337, 6184, 6192 }, // 649 VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register
{ 1, 331, 334, 6192, 6196 }, // 650 VST2b16_VST2b32_VST2b8
{ 1, 331, 334, 6196, 6200 }, // 651 VST2d16_VST2d32_VST2d8
{ 1, 331, 334, 6200, 6203 }, // 652 VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register
{ 1, 340, 343, 6203, 6209 }, // 653 VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo
{ 1, 331, 334, 6209, 6212 }, // 654 VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register
{ 1, 340, 343, 6212, 6220 }, // 655 VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register
{ 1, 334, 337, 6220, 6225 }, // 656 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo
{ 1, 334, 337, 6225, 6232 }, // 657 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD
{ 1, 340, 343, 6232, 6238 }, // 658 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo
{ 1, 340, 343, 6238, 6246 }, // 659 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD
{ 1, 325, 328, 6246, 6249 }, // 660 VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo
{ 1, 325, 328, 6249, 6254 }, // 661 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD
{ 1, 331, 334, 6254, 6258 }, // 662 VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo
{ 1, 331, 334, 6258, 6264 }, // 663 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD
{ 1, 331, 334, 6264, 6270 }, // 664 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD
{ 1, 334, 337, 6270, 6275 }, // 665 VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 1, 334, 337, 6275, 6280 }, // 666 VST3LNq16Pseudo_VST3LNq32Pseudo
{ 1, 334, 337, 6280, 6287 }, // 667 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD
{ 1, 334, 337, 6287, 6294 }, // 668 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD
{ 1, 340, 343, 6294, 6300 }, // 669 VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo
{ 1, 340, 343, 6300, 6308 }, // 670 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD
{ 1, 340, 343, 6308, 6316 }, // 671 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD
{ 1, 314, 317, 6316, 6319 }, // 672 VDIVS
{ 1, 319, 322, 6319, 6321 }, // 673 VSQRTS
{ 1, 311, 314, 6321, 6324 }, // 674 VDIVD
{ 1, 311, 314, 6324, 6326 }, // 675 VSQRTD
{ 0, 0, 0, 0, 0 }, // 676 ABS
{ 0, 0, 0, 0, 0 }, // 677 COPY
{ 1, 265, 266, 6326, 6327 }, // 678 t2MOVCCi_t2MOVCCi16
{ 1, 265, 266, 6327, 6328 }, // 679 t2MOVi_t2MOVi16
{ 0, 0, 0, 0, 0 }, // 680 t2ABS
{ 0, 0, 0, 0, 0 }, // 681 t2USAD8_t2USADA8
{ 0, 0, 0, 0, 0 }, // 682 t2SDIV_t2UDIV
{ 0, 0, 0, 0, 0 }, // 683 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH
{ 0, 0, 0, 0, 0 }, // 684 LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH
{ 0, 0, 0, 0, 0 }, // 685 LDRBT_POST
{ 1, 265, 266, 6328, 6331 }, // 686 MOVsr
{ 0, 0, 0, 0, 0 }, // 687 t2MOVSsr_t2MOVsr
{ 1, 265, 266, 6331, 6333 }, // 688 t2MOVsra_flag_t2MOVsrl_flag
{ 1, 265, 266, 6333, 6334 }, // 689 MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 265, 266, 6334, 6336 }, // 690 ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri
{ 1, 265, 266, 6336, 6338 }, // 691 CLZ_t2CLZ
{ 1, 265, 266, 6338, 6340 }, // 692 t2ANDri_t2BICri_t2EORri_t2ORRri
{ 1, 265, 266, 6340, 6341 }, // 693 t2MVNCCi
{ 1, 265, 266, 6341, 6342 }, // 694 t2MVNi
{ 1, 265, 266, 6342, 6344 }, // 695 t2MVNr
{ 1, 265, 266, 6344, 6346 }, // 696 t2MVNs
{ 1, 265, 266, 6346, 6349 }, // 697 ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr
{ 0, 0, 0, 0, 0 }, // 698 CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W
{ 1, 265, 266, 6349, 6352 }, // 699 t2ANDrr_t2BICrr_t2EORrr
{ 1, 265, 266, 6352, 6356 }, // 700 ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi
{ 1, 265, 266, 6356, 6359 }, // 701 t2ADDSrs
{ 1, 265, 266, 6359, 6362 }, // 702 t2ADCrs_t2ADDrs_t2SBCrs
{ 1, 265, 266, 6362, 6365 }, // 703 t2ANDrs_t2BICrs_t2EORrs_t2ORRrs
{ 1, 265, 266, 6365, 6368 }, // 704 t2RSBrs
{ 1, 265, 266, 6368, 6372 }, // 705 ADDSrsr
{ 1, 265, 266, 6372, 6376 }, // 706 ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr
{ 1, 265, 266, 6376, 6378 }, // 707 ADR
{ 1, 265, 266, 6378, 6379 }, // 708 MVNi
{ 1, 265, 266, 6379, 6382 }, // 709 MVNsi
{ 0, 0, 0, 0, 0 }, // 710 t2MOVSsi_t2MOVsi
{ 0, 0, 0, 0, 0 }, // 711 ASRi_RORi
{ 0, 0, 0, 0, 0 }, // 712 ASRr_RORr_LSRi_LSRr_LSLi_LSLr
{ 1, 265, 266, 6382, 6383 }, // 713 CMPri_CMNri
{ 1, 265, 266, 6383, 6385 }, // 714 CMPrr_CMNzrr
{ 1, 265, 266, 6385, 6388 }, // 715 CMPrsi_CMNzrsi
{ 1, 265, 266, 6388, 6391 }, // 716 CMPrsr_CMNzrsr
{ 0, 0, 0, 0, 0 }, // 717 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi
{ 1, 265, 266, 6391, 6393 }, // 718 RBIT_REV_REV16_REVSH
{ 1, 265, 266, 6393, 6395 }, // 719 RRX
{ 1, 265, 266, 6395, 6396 }, // 720 TSTri
{ 1, 265, 266, 6396, 6398 }, // 721 TSTrr
{ 1, 265, 266, 6398, 6401 }, // 722 TSTrsi
{ 1, 265, 266, 6401, 6404 }, // 723 TSTrsr
{ 0, 0, 0, 0, 0 }, // 724 MRS_MRSbanked_MRSsys
{ 0, 0, 0, 0, 0 }, // 725 MSR_MSRbanked_MSRi
{ 0, 0, 0, 0, 0 }, // 726 SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW
{ 0, 0, 0, 0, 0 }, // 727 t2STREX_t2STREXB_t2STREXD_t2STREXH
{ 0, 0, 0, 0, 0 }, // 728 STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH
{ 0, 0, 0, 0, 0 }, // 729 t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH
{ 1, 284, 286, 6404, 6407 }, // 730 VABDfd_VABDhd
{ 1, 300, 302, 6407, 6410 }, // 731 VABDfq_VABDhq
{ 1, 286, 289, 6410, 6412 }, // 732 VABSD
{ 0, 0, 0, 0, 0 }, // 733 VABSH
{ 1, 284, 286, 6412, 6414 }, // 734 VABSS
{ 1, 284, 286, 6414, 6416 }, // 735 VABShd
{ 1, 300, 302, 6416, 6418 }, // 736 VABShq
{ 1, 284, 286, 6418, 6421 }, // 737 VACGEfd_VACGEhd_VACGTfd_VACGThd
{ 1, 300, 302, 6421, 6424 }, // 738 VACGEfq_VACGEhq_VACGTfq_VACGThq
{ 0, 0, 0, 0, 0 }, // 739 VADDH_VSUBH
{ 1, 284, 286, 6424, 6427 }, // 740 VADDfd_VSUBfd
{ 1, 284, 286, 6427, 6430 }, // 741 VADDhd_VSUBhd
{ 1, 300, 302, 6430, 6433 }, // 742 VADDfq_VSUBfq
{ 1, 300, 302, 6433, 6436 }, // 743 VADDhq_VSUBhq
{ 0, 0, 0, 0, 0 }, // 744 VLDRH
{ 1, 291, 293, 6436, 6437 }, // 745 VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre
{ 0, 0, 0, 0, 0 }, // 746 VSTRH
{ 1, 291, 293, 6437, 6438 }, // 747 VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre
{ 1, 284, 286, 6438, 6442 }, // 748 VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8
{ 1, 284, 286, 6442, 6445 }, // 749 VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8
{ 1, 284, 286, 6445, 6448 }, // 750 VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16
{ 1, 284, 286, 6448, 6451 }, // 751 VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16
{ 1, 284, 286, 6451, 6454 }, // 752 VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8
{ 1, 284, 286, 6454, 6457 }, // 753 VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8
{ 1, 284, 286, 6457, 6460 }, // 754 VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16
{ 1, 284, 286, 6460, 6463 }, // 755 VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16
{ 1, 284, 286, 6463, 6466 }, // 756 VANDd_VBICd_VEORd
{ 1, 284, 286, 6466, 6469 }, // 757 VANDq_VBICq_VEORq
{ 1, 284, 286, 6469, 6470 }, // 758 VBICiv2i32_VBICiv4i16
{ 1, 284, 286, 6470, 6471 }, // 759 VBICiv4i32_VBICiv8i16
{ 1, 284, 286, 6471, 6474 }, // 760 VBIFd_VBITd
{ 1, 284, 286, 6474, 6477 }, // 761 VBSLd
{ 1, 284, 286, 6477, 6480 }, // 762 VBIFq_VBITq
{ 1, 300, 302, 6480, 6483 }, // 763 VBSLq
{ 1, 284, 286, 6483, 6486 }, // 764 VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16
{ 1, 284, 286, 6486, 6489 }, // 765 VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8
{ 1, 300, 302, 6489, 6492 }, // 766 VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq
{ 1, 284, 286, 6492, 6495 }, // 767 VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd
{ 0, 0, 0, 0, 0 }, // 768 VCMPEH_VCMPEZH_VCMPH_VCMPZH
{ 1, 291, 293, 6495, 6497 }, // 769 VDUP16d_VDUP32d_VDUP8d
{ 0, 0, 0, 0, 0 }, // 770 VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS
{ 1, 284, 286, 6497, 6501 }, // 771 VFMAhd_VFMShd
{ 1, 300, 302, 6501, 6505 }, // 772 VFMAhq_VFMShq
{ 1, 284, 286, 6505, 6508 }, // 773 VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8
{ 1, 284, 286, 6508, 6511 }, // 774 VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16
{ 1, 284, 286, 6511, 6514 }, // 775 VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16
{ 1, 284, 286, 6514, 6517 }, // 776 VPMAXf_VPMAXh_VPMINf_VPMINh
{ 0, 0, 0, 0, 0 }, // 777 VNEGH
{ 1, 284, 286, 6517, 6519 }, // 778 VNEGhd
{ 1, 300, 302, 6519, 6521 }, // 779 VNEGhq
{ 1, 284, 286, 6521, 6524 }, // 780 VNEGs16d_VNEGs32d_VNEGs8d
{ 1, 300, 302, 6524, 6527 }, // 781 VNEGs16q_VNEGs32q_VNEGs8q
{ 1, 284, 286, 6527, 6530 }, // 782 VPADDi16_VPADDi32_VPADDi8
{ 1, 284, 286, 6530, 6533 }, // 783 VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8
{ 1, 284, 286, 6533, 6536 }, // 784 VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8
{ 1, 284, 286, 6536, 6538 }, // 785 VQABSv2i32_VQABSv4i16_VQABSv8i8
{ 1, 284, 286, 6538, 6540 }, // 786 VQABSv16i8_VQABSv4i32_VQABSv8i16
{ 1, 300, 302, 6540, 6544 }, // 787 VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
{ 1, 284, 286, 6544, 6548 }, // 788 VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
{ 1, 300, 302, 6548, 6551 }, // 789 VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32
{ 1, 284, 286, 6551, 6554 }, // 790 VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16
{ 1, 351, 355, 6554, 6557 }, // 791 VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
{ 1, 300, 302, 6557, 6560 }, // 792 VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
{ 1, 284, 286, 6560, 6563 }, // 793 VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8
{ 1, 300, 302, 6563, 6566 }, // 794 VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16
{ 1, 284, 286, 6566, 6569 }, // 795 VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 284, 286, 6569, 6572 }, // 796 VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8
{ 1, 334, 337, 6572, 6577 }, // 797 VST1d16T_VST1d32T_VST1d64T_VST1d8T
{ 1, 334, 337, 6577, 6583 }, // 798 VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q
{ 1, 334, 337, 6583, 6589 }, // 799 VST1d64QPseudo
{ 1, 325, 328, 6589, 6592 }, // 800 VST1LNd16_VST1LNd32_VST1LNd8
{ 0, 0, 0, 0, 0 }, // 801 VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8
{ 1, 325, 328, 6592, 6597 }, // 802 VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 803 VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8
{ 1, 340, 343, 6597, 6603 }, // 804 VST2q16_VST2q32_VST2q8
{ 1, 331, 334, 6603, 6607 }, // 805 VST2LNd16_VST2LNd32_VST2LNd8
{ 0, 0, 0, 0, 0 }, // 806 VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8
{ 1, 331, 334, 6607, 6611 }, // 807 VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo
{ 1, 331, 334, 6611, 6615 }, // 808 VST2LNq16_VST2LNq32
{ 0, 0, 0, 0, 0 }, // 809 VST2LNqAsm_16_VST2LNqAsm_32
{ 1, 331, 334, 6615, 6621 }, // 810 VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 811 VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8
{ 1, 331, 334, 6621, 6627 }, // 812 VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 813 VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32
{ 1, 334, 337, 6627, 6632 }, // 814 VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8
{ 0, 0, 0, 0, 0 }, // 815 VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8
{ 1, 334, 337, 6632, 6637 }, // 816 VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo
{ 1, 334, 337, 6637, 6642 }, // 817 VST3LNd16_VST3LNd32_VST3LNd8
{ 0, 0, 0, 0, 0 }, // 818 VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8
{ 1, 334, 337, 6642, 6647 }, // 819 VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo
{ 0, 0, 0, 0, 0 }, // 820 VST3LNqAsm_16_VST3LNqAsm_32
{ 1, 334, 337, 6647, 6654 }, // 821 VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD
{ 0, 0, 0, 0, 0 }, // 822 VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8
{ 1, 334, 337, 6654, 6661 }, // 823 VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 824 VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8
{ 1, 334, 337, 6661, 6668 }, // 825 VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 826 VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32
{ 1, 340, 343, 6668, 6674 }, // 827 VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8
{ 0, 0, 0, 0, 0 }, // 828 VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8
{ 1, 340, 343, 6674, 6680 }, // 829 VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo
{ 1, 340, 343, 6680, 6686 }, // 830 VST4LNd16_VST4LNd32_VST4LNd8
{ 0, 0, 0, 0, 0 }, // 831 VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8
{ 1, 340, 343, 6686, 6692 }, // 832 VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo
{ 1, 340, 343, 6692, 6698 }, // 833 VST4LNq16_VST4LNq32
{ 0, 0, 0, 0, 0 }, // 834 VST4LNqAsm_16_VST4LNqAsm_32
{ 1, 340, 343, 6698, 6706 }, // 835 VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD
{ 0, 0, 0, 0, 0 }, // 836 VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8
{ 1, 340, 343, 6706, 6714 }, // 837 VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD
{ 0, 0, 0, 0, 0 }, // 838 VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8
{ 1, 340, 343, 6714, 6722 }, // 839 VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 840 VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32
{ 0, 0, 0, 0, 0 }, // 841 BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier
{ 1, 265, 266, 0, 0 }, // 842 t2HVC_tTRAP_SVC_tSVC
{ 1, 265, 266, 0, 0 }, // 843 t2UDF_tUDF_t__brkdiv0
{ 0, 0, 0, 0, 0 }, // 844 LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY
{ 0, 0, 0, 0, 0 }, // 845 t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE
{ 0, 0, 0, 0, 0 }, // 846 LDREX_LDREXB_LDREXD_LDREXH
{ 0, 0, 0, 0, 0 }, // 847 MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked
{ 0, 0, 0, 0, 0 }, // 848 FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD
{ 0, 0, 0, 0, 0 }, // 849 ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK
{ 1, 265, 266, 0, 0 }, // 850 SUBS_PC_LR
{ 1, 265, 266, 0, 0 }, // 851 B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ
{ 0, 0, 0, 0, 0 }, // 852 BXJ
{ 1, 265, 266, 0, 0 }, // 853 tBfar
{ 1, 265, 266, 0, 0 }, // 854 BL_tBL_BL_pred_tBLXi
{ 0, 0, 0, 0, 0 }, // 855 BLXi
{ 1, 265, 266, 0, 0 }, // 856 TPsoft_tTPsoft
{ 1, 265, 266, 0, 0 }, // 857 BLX_BLX_pred_tBLXNSr_tBLXr
{ 1, 265, 266, 0, 0 }, // 858 BCCi64_BCCZi64
{ 1, 265, 266, 0, 0 }, // 859 BR_JTadd_tBR_JTr_t2TBB_t2TBH
{ 1, 265, 266, 0, 0 }, // 860 BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND
{ 0, 0, 0, 0, 0 }, // 861 t2BXJ
{ 1, 265, 266, 0, 0 }, // 862 BR_JTm_i12_BR_JTm_rs
{ 0, 0, 0, 0, 0 }, // 863 tADDframe
{ 1, 265, 266, 6722, 6723 }, // 864 MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8
{ 1, 265, 266, 6723, 6725 }, // 865 MOVr_MOVr_TC_tMOVSr_tMOVr
{ 1, 265, 266, 6725, 6726 }, // 866 MVNCCi_MOVCCi
{ 1, 265, 266, 0, 0 }, // 867 BMOVPCB_CALL_BMOVPCRX_CALL
{ 1, 265, 266, 6726, 6728 }, // 868 MOVCCr
{ 0, 0, 0, 0, 0 }, // 869 tMOVCCr_pseudo
{ 1, 265, 266, 6728, 6730 }, // 870 tMVN
{ 1, 265, 266, 6730, 6733 }, // 871 MOVCCsi
{ 1, 265, 266, 6733, 6735 }, // 872 t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX
{ 0, 0, 0, 0, 0 }, // 873 LSRi_LSLi
{ 1, 265, 266, 6735, 6737 }, // 874 t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror
{ 1, 265, 266, 6737, 6739 }, // 875 t2MOVCCr
{ 1, 265, 266, 6739, 6740 }, // 876 t2MOVTi16_ga_pcrel_t2MOVTi16
{ 1, 265, 266, 6740, 6742 }, // 877 t2MOVr
{ 1, 265, 266, 6742, 6745 }, // 878 tROR
{ 1, 265, 266, 6745, 6748 }, // 879 t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr
{ 1, 265, 266, 0, 0 }, // 880 MOVPCRX_MOVPCLR
{ 1, 274, 275, 6748, 6751 }, // 881 tMUL
{ 1, 265, 266, 6751, 6754 }, // 882 SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8
{ 0, 0, 0, 0, 0 }, // 883 t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8
{ 1, 265, 266, 6754, 6757 }, // 884 SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8
{ 0, 0, 0, 0, 0 }, // 885 t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8
{ 1, 265, 266, 6757, 6760 }, // 886 QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8
{ 0, 0, 0, 0, 0 }, // 887 t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8
{ 1, 265, 266, 6760, 6763 }, // 888 QASX_QSAX_UQASX_UQSAX
{ 0, 0, 0, 0, 0 }, // 889 t2QASX_t2QSAX_t2UQASX_t2UQSAX
{ 0, 0, 0, 0, 0 }, // 890 SSAT_SSAT16_USAT_USAT16
{ 1, 265, 266, 6763, 6766 }, // 891 QADD_QSUB
{ 1, 265, 266, 6766, 6768 }, // 892 SBFX_UBFX
{ 1, 265, 266, 6768, 6770 }, // 893 t2SBFX_t2UBFX
{ 1, 265, 266, 6770, 6772 }, // 894 SXTB_SXTH_UXTB_UXTH
{ 1, 265, 266, 6772, 6774 }, // 895 t2SXTB_t2SXTH_t2UXTB_t2UXTH
{ 1, 265, 266, 6774, 6776 }, // 896 tSXTB_tSXTH_tUXTB_tUXTH
{ 1, 265, 266, 6776, 6779 }, // 897 SXTAB_SXTAH_UXTAB_UXTAH
{ 1, 265, 266, 6779, 6783 }, // 898 t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH
{ 0, 0, 0, 0, 0 }, // 899 LDRConstPool_t2LDRConstPool_tLDRConstPool
{ 1, 269, 271, 6783, 6786 }, // 900 PICLDRB_PICLDRH
{ 1, 269, 271, 6786, 6789 }, // 901 PICLDRSB_PICLDRSH
{ 1, 269, 271, 6789, 6793 }, // 902 tLDR_postidx
{ 1, 269, 271, 6793, 6795 }, // 903 tLDRBi_tLDRHi
{ 1, 269, 271, 6795, 6797 }, // 904 tLDRi_tLDRpci_tLDRspi
{ 0, 0, 0, 0, 0 }, // 905 t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel
{ 1, 269, 271, 6797, 6800 }, // 906 LDR_PRE_IMM
{ 1, 269, 271, 6800, 6803 }, // 907 LDRB_PRE_IMM
{ 1, 269, 271, 6803, 6806 }, // 908 t2LDRB_PRE
{ 1, 269, 271, 6806, 6810 }, // 909 LDR_PRE_REG
{ 1, 269, 271, 6810, 6814 }, // 910 LDRB_PRE_REG
{ 1, 269, 271, 6814, 6818 }, // 911 LDRH_PRE
{ 1, 269, 271, 6818, 6822 }, // 912 LDRSB_PRE_LDRSH_PRE
{ 1, 269, 271, 6822, 6825 }, // 913 t2LDRH_PRE
{ 1, 269, 271, 6825, 6828 }, // 914 t2LDRSB_PRE_t2LDRSH_PRE
{ 1, 269, 271, 6828, 6831 }, // 915 t2LDR_PRE
{ 1, 269, 271, 6831, 6835 }, // 916 LDRD_PRE
{ 1, 269, 271, 6835, 6839 }, // 917 t2LDRD_PRE
{ 1, 269, 271, 6839, 6843 }, // 918 LDRT_POST_IMM
{ 1, 269, 271, 6843, 6847 }, // 919 LDRBT_POST_IMM
{ 1, 269, 271, 6847, 6851 }, // 920 LDRHTi
{ 1, 269, 271, 6851, 6855 }, // 921 LDRSBTi_LDRSHTi
{ 1, 269, 271, 6855, 6858 }, // 922 t2LDRB_POST
{ 1, 269, 271, 6858, 6862 }, // 923 LDRH_POST
{ 1, 269, 271, 6862, 6866 }, // 924 LDRSB_POST_LDRSH_POST
{ 1, 269, 271, 6866, 6870 }, // 925 LDR_POST_REG
{ 1, 269, 271, 6870, 6874 }, // 926 LDRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 927 LDRT_POST
{ 1, 265, 266, 6874, 6876 }, // 928 PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs
{ 1, 265, 266, 6876, 6878 }, // 929 PLDrs_PLDWrs
{ 0, 0, 0, 0, 0 }, // 930 VLLDM
{ 1, 269, 271, 6878, 6881 }, // 931 STRBi12_PICSTRB_PICSTRH
{ 1, 269, 271, 6881, 6883 }, // 932 t2STRBT
{ 1, 269, 271, 6883, 6886 }, // 933 STR_PRE_IMM
{ 1, 269, 271, 6886, 6889 }, // 934 STRB_PRE_IMM
{ 1, 269, 271, 6889, 6893 }, // 935 STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx
{ 1, 269, 271, 6893, 6897 }, // 936 STRH_PRE
{ 1, 269, 271, 6897, 6900 }, // 937 t2STRH_PRE_t2STR_PRE
{ 1, 269, 271, 6900, 6903 }, // 938 t2STRB_PRE
{ 1, 269, 271, 6903, 6907 }, // 939 t2STRD_PRE
{ 1, 269, 271, 6907, 6911 }, // 940 STR_PRE_REG
{ 1, 269, 271, 6911, 6915 }, // 941 STRB_PRE_REG
{ 1, 269, 271, 6915, 6919 }, // 942 STRD_PRE
{ 1, 269, 271, 6919, 6923 }, // 943 STRT_POST_IMM
{ 1, 269, 271, 6923, 6927 }, // 944 STRBT_POST_IMM
{ 1, 269, 271, 6927, 6930 }, // 945 t2STRB_POST
{ 1, 269, 271, 6930, 6934 }, // 946 STRBT_POST_REG_STRB_POST_REG
{ 0, 0, 0, 0, 0 }, // 947 VLSTM
{ 0, 0, 0, 0, 0 }, // 948 VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD
{ 1, 284, 286, 6934, 6936 }, // 949 VTOSLS_VTOUHS_VTOULS
{ 1, 322, 325, 6936, 6938 }, // 950 VJCVT
{ 0, 0, 0, 0, 0 }, // 951 VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS
{ 0, 0, 0, 0, 0 }, // 952 VSQRTH
{ 1, 284, 286, 6938, 6941 }, // 953 VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8
{ 0, 0, 0, 0, 0 }, // 954 VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI
{ 1, 286, 289, 6941, 6943 }, // 955 FCONSTD
{ 0, 0, 0, 0, 0 }, // 956 FCONSTH
{ 1, 284, 286, 6943, 6945 }, // 957 FCONSTS
{ 0, 0, 0, 0, 0 }, // 958 VMOVHcc_VMOVH
{ 0, 0, 0, 0, 0 }, // 959 VINSH
{ -1, 343, 348, 6945, 6949 }, // 960 VSTMSIA
{ -1, 343, 348, 6949, 6954 }, // 961 VSTMSDB_UPD_VSTMSIA_UPD
{ 1, 284, 286, 6954, 6957 }, // 962 VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16
{ 1, 284, 286, 6957, 6960 }, // 963 VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8
{ 1, 284, 286, 6960, 6961 }, // 964 VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16
{ 1, 284, 286, 6961, 6964 }, // 965 VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16
{ 1, 300, 302, 6964, 6967 }, // 966 VMULv2i32_VMULslv2i32
{ 1, 300, 302, 6967, 6970 }, // 967 VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32
{ 1, 284, 286, 6970, 6973 }, // 968 VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16
{ 1, 300, 302, 6973, 6976 }, // 969 VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16
{ 1, 300, 302, 6976, 6980 }, // 970 VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32
{ 1, 284, 286, 6980, 6984 }, // 971 VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8
{ 1, 300, 302, 6984, 6988 }, // 972 VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32
{ 1, 284, 286, 6988, 6992 }, // 973 VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16
{ 1, 351, 355, 6992, 6996 }, // 974 VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32
{ 1, 300, 302, 6996, 7000 }, // 975 VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16
{ 1, 284, 286, 7000, 7003 }, // 976 VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16
{ 1, 284, 286, 7003, 7006 }, // 977 VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8
{ 1, 284, 286, 7006, 7009 }, // 978 VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8
{ 1, 284, 286, 7009, 7012 }, // 979 VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8
{ 1, 284, 286, 7012, 7015 }, // 980 VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8
{ 1, 300, 302, 7015, 7018 }, // 981 VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16
{ 1, 284, 286, 7018, 7021 }, // 982 VPADDh
{ 1, 284, 286, 7021, 7025 }, // 983 VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed
{ 1, 300, 302, 7025, 7029 }, // 984 VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed
{ 1, 284, 286, 7029, 7031 }, // 985 VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd
{ 1, 300, 302, 7031, 7033 }, // 986 VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq
{ 0, 0, 0, 0, 0 }, // 987 NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS
{ 1, 284, 286, 7033, 7036 }, // 988 VMULhd
{ 1, 284, 286, 7036, 7039 }, // 989 VMULhq
{ 0, 0, 0, 0, 0 }, // 990 VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh
{ 1, 284, 286, 7039, 7040 }, // 991 VMOVD0_VMOVQ0
{ 1, 291, 293, 7040, 7044 }, // 992 VTRNd16_VTRNd32_VTRNd8
{ 1, 331, 334, 7044, 7047 }, // 993 VLD2d16_VLD2d32_VLD2d8
{ 1, 331, 334, 7047, 7053 }, // 994 VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register
{ 1, 337, 340, 7053, 7061 }, // 995 VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo
{ 1, 337, 340, 7061, 7071 }, // 996 VLD3LNd32_UPD_VLD3LNq32_UPD
{ 1, 337, 340, 7071, 7081 }, // 997 VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD
{ 1, 337, 340, 7081, 7091 }, // 998 VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo
{ 1, 337, 340, 7091, 7103 }, // 999 VLD4LNd32_UPD_VLD4LNq32_UPD
{ 1, 337, 340, 7103, 7115 }, // 1000 VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD
{ 0, 0, 0, 0, 0 }, // 1001 AESD_AESE_AESIMC_AESMC
{ 0, 0, 0, 0, 0 }, // 1002 SHA1SU0
{ 0, 0, 0, 0, 0 }, // 1003 SHA1H_SHA1SU1
{ 0, 0, 0, 0, 0 }, // 1004 SHA1C_SHA1M_SHA1P
{ 0, 0, 0, 0, 0 }, // 1005 SHA256SU0
{ 0, 0, 0, 0, 0 }, // 1006 SHA256H_SHA256H2_SHA256SU1
{ -1, 266, 269, 7115, 7120 }, // 1007 t2LDMIA_RET
{ -1, 289, 291, 7120, 7125 }, // 1008 tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD
{ -1, 293, 295, 7125, 7130 }, // 1009 t2LDMDB_t2LDMIA_tLDMIA
{ 0, 0, 0, 0, 0 }, // 1010 t2LDRConstPool_tLDRConstPool
{ 1, 269, 271, 7130, 7132 }, // 1011 tLDRLIT_ga_abs
{ 1, 271, 274, 7132, 7134 }, // 1012 tLDRLIT_ga_pcrel
{ 0, 0, 0, 0, 0 }, // 1013 t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH
{ -1, 293, 295, 0, 0 }, // 1014 t2STMDB_t2STMIA
{ -1, 293, 295, 7134, 7135 }, // 1015 t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
{ 1, 265, 266, 7135, 7137 }, // 1016 tMOVSr_tMOVr
{ 1, 265, 266, 7137, 7138 }, // 1017 tMOVi8
{ 0, 0, 0, 0, 0 }, // 1018 t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR
{ 0, 0, 0, 0, 0 }, // 1019 t2CLREX
{ 1, 283, 284, 7138, 7142 }, // 1020 t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX
{ 1, 265, 266, 7142, 7144 }, // 1021 t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH
{ 0, 0, 0, 0, 0 }, // 1022 t2CDP_t2CDP2
{ 0, 0, 0, 0, 0 }, // 1023 t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2
{ 0, 0, 0, 0, 0 }, // 1024 t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE
{ 0, 0, 0, 0, 0 }, // 1025 tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT
{ 1, 265, 266, 0, 0 }, // 1026 t2UDF_tUDF
{ 0, 0, 0, 0, 0 }, // 1027 tBKPT_t2DBG
{ 0, 0, 0, 0, 0 }, // 1028 Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP
{ 0, 0, 0, 0, 0 }, // 1029 CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8
{ 0, 0, 0, 0, 0 }, // 1030 JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH
{ 0, 0, 0, 0, 0 }, // 1031 MEMCPY
{ 1, 317, 319, 7144, 7147 }, // 1032 VSETLNi32
{ 1, 291, 293, 7147, 7149 }, // 1033 VGETLNi32
{ 0, 0, 0, 0, 0 }, // 1034 VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8
{ 1, 334, 337, 7149, 7154 }, // 1035 VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD
{ 1, 334, 337, 7154, 7158 }, // 1036 VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD
{ 1, 325, 328, 7158, 7161 }, // 1037 VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo
{ 1, 328, 331, 7161, 7165 }, // 1038 VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo
{ 1, 328, 331, 7165, 7170 }, // 1039 VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo
{ 1, 334, 337, 7170, 7175 }, // 1040 VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD
{ 1, 334, 337, 7175, 7181 }, // 1041 VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD
{ 1, 284, 286, 7181, 7182 }, // 1042 VMOVD0
{ 0, uint16_t(~0U), uint16_t(~0U), uint16_t(~0U), uint16_t(~0U) }// end marker
};
// ===============================================================
// Data tables for the new per-operand machine model.
// {ProcResourceIdx, Cycles}
extern const llvm::MCWriteProcResEntry ARMWriteProcResTable[] = {
{ 0, 0}, // Invalid
{ 2, 1}, // #1
{ 3, 1}, // #2
{ 1, 1}, // #3
{ 5, 1}, // #4
{ 1, 1}, // #5
{ 2, 1}, // #6
{ 5, 1}, // #7
{ 2, 2}, // #8
{ 6, 2}, // #9
{ 2, 3}, // #10
{ 2, 2}, // #11
{ 5, 1}, // #12
{ 1, 2}, // #13
{ 5, 1}, // #14
{ 2, 3}, // #15
{ 6, 3}, // #16
{ 1, 1}, // #17
{ 4, 1}, // #18
{ 2, 1}, // #19
{ 6, 1}, // #20
{ 1, 3}, // #21
{ 5, 1}, // #22
{ 1, 1}, // #23
{ 4, 2}, // #24
{ 1, 2}, // #25
{ 4, 2}, // #26
{ 1, 2}, // #27
{ 4, 2}, // #28
{ 5, 1}, // #29
{ 1, 2}, // #30
{ 4, 3}, // #31
{ 5, 2}, // #32
{ 1, 2}, // #33
{ 4, 2}, // #34
{ 5, 2}, // #35
{ 1, 5}, // #36
{ 4, 5}, // #37
{ 5, 4}, // #38
{ 1, 1}, // #39
{ 4, 1}, // #40
{ 5, 1}, // #41
{ 1, 1}, // #42
{ 3, 1}, // #43
{ 5, 1}, // #44
{ 1, 2}, // #45
{ 3, 1}, // #46
{ 5, 2}, // #47
{ 1, 3}, // #48
{ 3, 1}, // #49
{ 5, 3}, // #50
{ 1, 4}, // #51
{ 3, 1}, // #52
{ 5, 4}, // #53
{ 1, 5}, // #54
{ 3, 1}, // #55
{ 5, 5}, // #56
{ 1, 6}, // #57
{ 3, 1}, // #58
{ 5, 6}, // #59
{ 1, 7}, // #60
{ 3, 1}, // #61
{ 5, 7}, // #62
{ 1, 8}, // #63
{ 3, 1}, // #64
{ 5, 8}, // #65
{ 1, 2}, // #66
{ 5, 2}, // #67
{ 1, 3}, // #68
{ 5, 3}, // #69
{ 1, 4}, // #70
{ 5, 4}, // #71
{ 1, 5}, // #72
{ 5, 5}, // #73
{ 1, 6}, // #74
{ 5, 6}, // #75
{ 1, 7}, // #76
{ 5, 7}, // #77
{ 1, 8}, // #78
{ 5, 8}, // #79
{ 1, 3}, // #80
{ 4, 3}, // #81
{ 5, 3}, // #82
{ 1, 4}, // #83
{ 4, 4}, // #84
{ 5, 4}, // #85
{ 1, 5}, // #86
{ 4, 5}, // #87
{ 5, 5}, // #88
{ 1, 6}, // #89
{ 4, 6}, // #90
{ 5, 6}, // #91
{ 1, 7}, // #92
{ 4, 7}, // #93
{ 5, 7}, // #94
{ 1, 8}, // #95
{ 4, 8}, // #96
{ 5, 8}, // #97
{ 3, 2}, // #98
{ 4, 20}, // #99
{ 6, 32}, // #100
{ 7, 32}, // #101
{ 6, 17}, // #102
{ 7, 17}, // #103
{ 2, 1}, // #104
{ 4, 1}, // #105
{ 2, 2}, // #106
{ 3, 2}, // #107
{ 6, 1}, // #108
{ 8, 1}, // #109
{ 6, 1}, // #110
{ 7, 1}, // #111
{ 3, 1}, // #112
{ 6, 1}, // #113
{ 2, 1}, // #114
{ 3, 1}, // #115
{ 6, 1}, // #116
{ 3, 3}, // #117
{ 6, 3}, // #118
{ 2, 3}, // #119
{ 3, 3}, // #120
{ 6, 3}, // #121
{ 3, 4}, // #122
{ 6, 4}, // #123
{ 2, 4}, // #124
{ 3, 4}, // #125
{ 6, 4}, // #126
{ 3, 2}, // #127
{ 6, 2}, // #128
{ 2, 1}, // #129
{ 3, 2}, // #130
{ 6, 2}, // #131
{ 5, 1}, // #132
{ 6, 1}, // #133
{ 2, 1}, // #134
{ 5, 1}, // #135
{ 6, 1}, // #136
{ 6, 2}, // #137
{ 7, 2}, // #138
{ 2, 1}, // #139
{ 3, 1}, // #140
{ 4, 1}, // #141
{ 2, 6}, // #142
{ 3, 6}, // #143
{ 2, 8}, // #144
{ 3, 8}, // #145
{ 2, 10}, // #146
{ 3, 10}, // #147
{ 2, 12}, // #148
{ 3, 12}, // #149
{ 2, 14}, // #150
{ 3, 14}, // #151
{ 2, 16}, // #152
{ 3, 16}, // #153
{ 6, 2}, // #154
{ 8, 1}, // #155
{ 3, 17}, // #156
{ 3, 7}, // #157
{ 6, 5}, // #158
{ 6, 6}, // #159
{ 6, 7}, // #160
{ 6, 8}, // #161
{ 6, 9}, // #162
{ 6, 10}, // #163
{ 6, 11}, // #164
{ 6, 12}, // #165
{ 6, 13}, // #166
{ 6, 14}, // #167
{ 6, 15}, // #168
{ 6, 16}, // #169
{ 3, 1}, // #170
{ 4, 1}, // #171
{ 5, 1}, // #172
{ 2, 2}, // #173
{ 5, 3}, // #174
{ 4, 0}, // #175
{ 2, 2}, // #176
{ 5, 5}, // #177
{ 1, 30}, // #178
{ 2, 1}, // #179
{ 5, 1}, // #180
{ 1, 15}, // #181
{ 2, 1}, // #182
{ 5, 1}, // #183
{ 4, 1}, // #184
{ 5, 2}, // #185
{ 2, 1}, // #186
{ 3, 1}, // #187
{ 5, 2}, // #188
{ 1, 14}, // #189
{ 2, 1}, // #190
{ 5, 1}, // #191
{ 3, 2}, // #192
{ 5, 2}, // #193
{ 3, 3}, // #194
{ 5, 3}, // #195
{ 3, 4}, // #196
{ 5, 4}, // #197
{ 2, 1}, // #198
{ 4, 1}, // #199
{ 5, 1}, // #200
{ 3, 2}, // #201
{ 4, 2}, // #202
{ 5, 2}, // #203
{ 3, 1}, // #204
{ 4, 1}, // #205
{ 5, 2}, // #206
{ 2, 15}, // #207
{ 3, 15}, // #208
{ 4, 15}, // #209
{ 5, 30}, // #210
{ 4, 3}, // #211
{ 5, 1}, // #212
{ 2, 2}, // #213
{ 3, 2}, // #214
{ 4, 1}, // #215
{ 5, 4}, // #216
{ 2, 2}, // #217
{ 3, 2}, // #218
{ 4, 1}, // #219
{ 5, 5}, // #220
{ 3, 3}, // #221
{ 4, 4}, // #222
{ 5, 3}, // #223
{ 3, 3}, // #224
{ 4, 4}, // #225
{ 5, 4}, // #226
{ 2, 2}, // #227
{ 3, 4}, // #228
{ 4, 7}, // #229
{ 5, 6}, // #230
{ 2, 2}, // #231
{ 3, 4}, // #232
{ 4, 4}, // #233
{ 5, 6}, // #234
{ 2, 2}, // #235
{ 3, 4}, // #236
{ 4, 7}, // #237
{ 5, 7}, // #238
{ 2, 2}, // #239
{ 3, 4}, // #240
{ 4, 4}, // #241
{ 5, 7}, // #242
{ 3, 2}, // #243
{ 4, 1}, // #244
{ 5, 2}, // #245
{ 3, 2}, // #246
{ 4, 1}, // #247
{ 5, 3}, // #248
{ 2, 1}, // #249
{ 3, 2}, // #250
{ 4, 2}, // #251
{ 5, 3}, // #252
{ 2, 1}, // #253
{ 3, 2}, // #254
{ 4, 2}, // #255
{ 5, 4}, // #256
{ 2, 1}, // #257
{ 3, 3}, // #258
{ 4, 3}, // #259
{ 5, 4}, // #260
{ 2, 1}, // #261
{ 3, 3}, // #262
{ 4, 3}, // #263
{ 5, 5}, // #264
{ 2, 1}, // #265
{ 3, 5}, // #266
{ 4, 2}, // #267
{ 5, 6}, // #268
{ 2, 1}, // #269
{ 3, 5}, // #270
{ 4, 2}, // #271
{ 5, 7}, // #272
{ 4, 4}, // #273
{ 5, 1}, // #274
{ 3, 2}, // #275
{ 4, 4}, // #276
{ 5, 2}, // #277
{ 3, 2}, // #278
{ 4, 4}, // #279
{ 5, 3}, // #280
{ 3, 4}, // #281
{ 4, 4}, // #282
{ 5, 5}, // #283
{ 2, 3}, // #284
{ 5, 3}, // #285
{ 2, 1}, // #286
{ 5, 2}, // #287
{ 2, 2}, // #288
{ 5, 2}, // #289
{ 4, 5}, // #290
{ 5, 1}, // #291
{ 4, 6}, // #292
{ 5, 1}, // #293
{ 4, 7}, // #294
{ 5, 1}, // #295
{ 4, 8}, // #296
{ 5, 1}, // #297
{ 4, 9}, // #298
{ 5, 1}, // #299
{ 4, 10}, // #300
{ 5, 1}, // #301
{ 4, 11}, // #302
{ 5, 1}, // #303
{ 4, 12}, // #304
{ 5, 1}, // #305
{ 4, 13}, // #306
{ 5, 1}, // #307
{ 4, 14}, // #308
{ 5, 1}, // #309
{ 4, 15}, // #310
{ 5, 1}, // #311
{ 4, 16}, // #312
{ 5, 1}, // #313
{ 4, 5}, // #314
{ 5, 2}, // #315
{ 4, 6}, // #316
{ 5, 2}, // #317
{ 4, 7}, // #318
{ 5, 2}, // #319
{ 4, 8}, // #320
{ 5, 2}, // #321
{ 4, 9}, // #322
{ 5, 2}, // #323
{ 4, 10}, // #324
{ 5, 2}, // #325
{ 4, 11}, // #326
{ 5, 2}, // #327
{ 4, 12}, // #328
{ 5, 2}, // #329
{ 4, 13}, // #330
{ 5, 2}, // #331
{ 4, 14}, // #332
{ 5, 2}, // #333
{ 4, 15}, // #334
{ 5, 2}, // #335
{ 4, 16}, // #336
{ 5, 2}, // #337
{ 3, 1}, // #338
{ 4, 2}, // #339
{ 5, 3}, // #340
{ 3, 1}, // #341
{ 4, 3}, // #342
{ 5, 3}, // #343
{ 3, 1}, // #344
{ 4, 4}, // #345
{ 5, 3}, // #346
{ 3, 1}, // #347
{ 4, 5}, // #348
{ 5, 3}, // #349
{ 3, 1}, // #350
{ 4, 6}, // #351
{ 5, 3}, // #352
{ 3, 1}, // #353
{ 4, 7}, // #354
{ 5, 3}, // #355
{ 3, 1}, // #356
{ 4, 8}, // #357
{ 5, 3}, // #358
{ 3, 1}, // #359
{ 4, 9}, // #360
{ 5, 3}, // #361
{ 3, 1}, // #362
{ 4, 10}, // #363
{ 5, 3}, // #364
{ 3, 1}, // #365
{ 4, 11}, // #366
{ 5, 3}, // #367
{ 3, 1}, // #368
{ 4, 12}, // #369
{ 5, 3}, // #370
{ 3, 1}, // #371
{ 4, 13}, // #372
{ 5, 3}, // #373
{ 3, 1}, // #374
{ 4, 14}, // #375
{ 5, 3}, // #376
{ 3, 1}, // #377
{ 4, 15}, // #378
{ 5, 3}, // #379
{ 3, 1}, // #380
{ 4, 16}, // #381
{ 5, 3}, // #382
{ 4, 9}, // #383
{ 5, 9}, // #384
{ 4, 10}, // #385
{ 5, 10}, // #386
{ 4, 11}, // #387
{ 5, 11}, // #388
{ 4, 12}, // #389
{ 5, 12}, // #390
{ 4, 13}, // #391
{ 5, 13}, // #392
{ 4, 14}, // #393
{ 5, 14}, // #394
{ 4, 15}, // #395
{ 5, 15}, // #396
{ 4, 16}, // #397
{ 5, 16}, // #398
{ 4, 5}, // #399
{ 5, 6}, // #400
{ 4, 6}, // #401
{ 5, 7}, // #402
{ 4, 7}, // #403
{ 5, 8}, // #404
{ 4, 8}, // #405
{ 5, 9}, // #406
{ 4, 9}, // #407
{ 5, 10}, // #408
{ 4, 10}, // #409
{ 5, 11}, // #410
{ 4, 11}, // #411
{ 5, 12}, // #412
{ 4, 12}, // #413
{ 5, 13}, // #414
{ 4, 13}, // #415
{ 5, 14}, // #416
{ 4, 14}, // #417
{ 5, 15}, // #418
{ 4, 15}, // #419
{ 5, 16}, // #420
{ 4, 16}, // #421
{ 5, 17}, // #422
{ 3, 3}, // #423
{ 4, 2}, // #424
{ 5, 4}, // #425
{ 3, 5}, // #426
{ 4, 3}, // #427
{ 5, 6}, // #428
{ 3, 7}, // #429
{ 4, 3}, // #430
{ 5, 8}, // #431
{ 3, 2}, // #432
{ 4, 3}, // #433
{ 5, 3}, // #434
{ 3, 9}, // #435
{ 4, 3}, // #436
{ 5, 10}, // #437
{ 3, 5}, // #438
{ 4, 4}, // #439
{ 5, 6}, // #440
{ 3, 7}, // #441
{ 4, 5}, // #442
{ 5, 8}, // #443
{ 3, 9}, // #444
{ 4, 5}, // #445
{ 5, 10}, // #446
{ 3, 4}, // #447
{ 4, 5}, // #448
{ 5, 5}, // #449
{ 3, 3}, // #450
{ 4, 2}, // #451
{ 5, 6}, // #452
{ 3, 5}, // #453
{ 4, 2}, // #454
{ 5, 8}, // #455
{ 3, 5}, // #456
{ 4, 3}, // #457
{ 5, 8}, // #458
{ 3, 7}, // #459
{ 4, 3}, // #460
{ 5, 10}, // #461
{ 3, 2}, // #462
{ 4, 3}, // #463
{ 5, 5}, // #464
{ 3, 9}, // #465
{ 4, 3}, // #466
{ 5, 12}, // #467
{ 3, 5}, // #468
{ 4, 4}, // #469
{ 5, 8}, // #470
{ 3, 3}, // #471
{ 4, 4}, // #472
{ 5, 6}, // #473
{ 3, 7}, // #474
{ 4, 5}, // #475
{ 5, 10}, // #476
{ 3, 9}, // #477
{ 4, 5}, // #478
{ 5, 12}, // #479
{ 3, 4}, // #480
{ 4, 5}, // #481
{ 5, 7}, // #482
{ 4, 6}, // #483
{ 5, 8}, // #484
{ 4, 8}, // #485
{ 5, 10}, // #486
{ 4, 10}, // #487
{ 5, 12}, // #488
{ 4, 12}, // #489
{ 5, 14}, // #490
{ 4, 14}, // #491
{ 5, 16}, // #492
{ 4, 16}, // #493
{ 5, 18} // #494
}; // ARMWriteProcResTable
// {Cycles, WriteResourceID}
extern const llvm::MCWriteLatencyEntry ARMWriteLatencyTable[] = {
{ 0, 0}, // Invalid
{ 1, 0}, // #1 A9WriteALU_WriteBr_WriteBrTbl_A9WriteB_A9WriteFMov_A9WriteS_WriteBrL_WritePreLd_A9WriteV1_WriteVLD2_WriteVLD1_WriteVLD4_WriteVLD3_WriteVST1_WriteVST4_WriteVST3_WriteVST2_A57Write_1cyc_1I_A57Write_1cyc_1B_A57Write_1cyc_1B_1I_A57Write_1cyc_1S_A57WrBackOne_WriteALUsi_WriteALUSsr_WriteMUL32_WriteST_WriteALUsr_WriteCMP_WriteCMPsi_WriteCMPsr_WriteMUL16_WriteFPALU64_WriteFPALU32_WriteFPCVT_WriteFPMOV_WriteFPMUL64_WriteFPMUL32_M4UnitL1_wr_WriteDIV_SwiftWriteP01OneCycle_SwiftWriteP0OneCycle
{ 2, 0}, // #2 WriteALUsi_A9WriteI_A9WriteV2_A9WriteIsr_A57Write_2cyc_1M_A57Write_2cyc_1S_A57Write_2cyc_1S_1I_A57Write_2cyc_1B_1I_A57Write_2cyc_1I_A57Write_2cyc_1I_1M_A57WrBackTwo_WriteMAC32_WriteDIV_WriteMAC16_WriteLd_M4UnitL2_wr_R52WriteALU_EX1_WriteBrL_SwiftWriteP01TwoCycle_SwiftWriteP01OneCycle_SwiftWriteP0TwoCycle_SwiftWriteP1TwoCycle_SwiftWriteP0OneCycle
{ 3, 0}, // #3 A9WriteALUsr_A9WriteV3_A9WriteM16_A57Write_3cyc_1M_A57Write_1cyc_1I_A57Write_3cyc_1I_1M_A57Write_3cyc_1V_A57Write_3cyc_1X_A57Write_3cyc_1W_A57Write_3cyc_1S_A57Write_3cyc_1S_1V_A57Write_3cyc_1B_1I_A57Write_3cyc_1I_1S_WriteFPMAC64_WriteFPMAC32_WriteALUsi_WriteALUSsr_R52WriteALU_EX2_SwiftWriteP2ThreeCycle_SwiftWriteP01OneCycle_SwiftWriteP0ThreeCycleThreeUops_SwiftWriteP01ThreeCycleTwoUops
{ 3, 40}, // #4 A9WriteL
{ 1, 0}, // #5 A9WriteAdr
{ 3, 40}, // #6 A9WriteL
{ 1, 0}, // #7 A9WriteAdr_A9WriteLSfp_A9WriteLfpOp_A57WrBackOne_WriteMUL64Lo
{ 1, 0}, // #8 A9WriteALU_A9WriteS_A9WriteAdr_A9WriteLfpOp_A9WriteLSfp_A57Write_1cyc_1S_1I_A57WrBackOne_WriteMUL64Hi
{ 4, 0}, // #9 A9WriteM_A9WriteV4_A9WriteF_A9Write2V4_WriteFPCVT_A57Write_4cyc_1L_A57Write_4cyc_1M_A57Write_4cyc_1X_A57Write_4cyc_1S_A57Write_4cyc_1S_1I_A57Write_4cyc_1S_1V_A57Write_4cyc_1S_1V_1I_A57Write_4cyc_1W_A57Write_4cyc_1I_1M_R52WriteMAC_R52WriteST_R52WriteLd_R52WriteFPALU_F3_R52WriteALU_WRI_R52Write2FPALU_F3_R52WriteNoRSRC_WRI_SwiftWriteP0FourCycle_SwiftWriteP1FourCycle_SwiftWriteP2P01FourCycle_SwiftWriteP0P1FourCycle_SwiftWriteP1TwoCycle_SwiftWriteP2FourCycle_SwiftWriteLM4Cy_SwiftWrite3xP2FourCy_SwiftWrite2xP2FourCy
{ 5, 40}, // #10 A9WriteL
{ 4, 83}, // #11 A9WriteLb
{ 2, 0}, // #12 A9WriteAdr_A9WriteV2_A9WriteLfpOp_WriteMAC64Lo
{ 2, 0}, // #13 A9WriteAdr_A9WriteV2_A9WriteLfpOp_A57WrBackTwo_WriteMAC64Hi
{ 1, 0}, // #14 A9WriteS_A57Write_1cyc_1S_1M
{ 4, 0}, // #15 A9WriteM_R52WriteILDM4Cy
{ 5, 0}, // #16 A9WriteMHi_A9WriteV5_A9WriteFMulS_A57Write_5cyc_1V_A57Write_5cyc_1L_A57Write_5cyc_1X_A57Write_5cyc_1S_A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1M_A57Write_5cyc_1W_WriteVLD1_R52Write2FPALU_F4_R52WriteFPALU_F4_R52WriteFPLd_F4_R52WriteFPST_F4_R52WriteVST1Mem_R52WriteILDM5Cy_SwiftWriteP2ThreeCycle_SwiftWriteP0P01FiveCycleTwoUops
{ 5, 84}, // #17 A9WriteLbsi
{ 2, 0}, // #18 A9WriteAdr
{ 3, 40}, // #19 A9WriteL
{ 3, 57}, // #20 A9WriteLHi
{ 1, 0}, // #21 A9WriteAdr
{ 4, 107}, // #22 A9WriteLsi
{ 1, 0}, // #23 A9WriteAdr_A9WriteCycle1_A57WrBackOne
{ 3, 0}, // #24 A9WriteAdr_A9WriteCycle1_A57Write_3cyc_1S_1I_A57Write_3cyc_1S_1V_1I_A57Write_3cyc_1I_1S_SwiftWriteP2P01ThreeCycle_SwiftWriteP2ThreeCycle
{ 1, 0}, // #25 A9WriteS_A9WriteAdr_A57WrBackOne_SwiftWrBackOne_WriteALU
{ 6, 0}, // #26 A9WriteV6_A9WriteFMulD_A57Write_6cyc_1V_A57Write_6cyc_1L_1I_A57Write_6cyc_1B_1L_A57Write_6cyc_2V_A57Write_6cyc_2W_A57Write_6cyc_1S_A57Write_6cyc_1S_1I_A57Write_6cyc_1V_1X_A57Write_6cyc_1W_R52WriteFPALU_F5_WriteVLD2_WriteFPMUL64_WriteFPMUL32_R52Write2FPALU_F5_R52WriteVST2Mem_R52WriteFPMUL_F5_SwiftWriteP0SixCycle_SwiftWriteP1SixCycle_SwiftWriteP0P1SixCycle_SwiftWriteP1TwoCycle_SwiftWriteP0TwoCycle_SwiftWriteP01OneCycle
{ 9, 0}, // #27 A9WriteV9_A9WriteFMAD_A9Write2V9_A57Write_9cyc_1V_A57Write_9cyc_1L_1V_R52WriteVST5Mem
{10, 0}, // #28 A9WriteV10_A57Write_10cyc_1S
{25, 0}, // #29 A9WriteFDivD
{15, 0}, // #30 A9WriteFDivS
{ 3, 0}, // #31 A9WriteV3_A9WriteM16_SwiftWriteP2P2ThreeCycle_SwiftWriteP2ThreeCycle
{ 4, 0}, // #32 A9WriteV4_A9WriteM16Hi_SwiftWriteLdFour_SwiftWriteP2FourCycle
{ 8, 0}, // #33 A9WriteFMAS_A57Write_8cyc_1V_A57Write_8cyc_1L_1V_A57Write_8cyc_1L_1I_A57Write_8cyc_1S_WriteDIV_WriteVLD4_R52WriteVST4Mem_R52WriteDIV_R52WriteIStIncAddr_SwiftWriteP1TwoCycle_SwiftWriteP1EightCycle
{ 5, 0}, // #34 A9WriteLfpOp_A57Write_5cyc_1L_1I
{ 1, 0}, // #35 A9WriteAdr_A57WrBackOne
{ 6, 0}, // #36 A9WriteLfpOp_A57Write_6cyc_1L_1I
{ 1, 0}, // #37 A9WriteAdr_A57WrBackOne
{ 8, 0}, // #38 A9WriteLfpOp_A57Write_8cyc_1S_1I
{ 4, 0}, // #39 A9WriteAdr
{ 7, 0}, // #40 A9Write2V7_A9WriteV7_A57Write_7cyc_1S_WriteFPDIV32_WriteVLD3_WriteFPSQRT32_R52WriteVST3Mem
{32, 0}, // #41 A9WriteFSqrtD_A57Write_32cyc_1W_R52WriteIStIncAddr_SwiftDiv32
{17, 0}, // #42 A9WriteFSqrtS_A57Write_17cyc_1W_WriteFPDIV64_WriteFPSQRT64_SwiftDiv17
{ 3, 0}, // #43 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_3cyc_1V_A57Write_3cyc_1L
{ 3, 0}, // #44 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_3cyc_1V_A57Write_3cyc_1L
{ 1, 0}, // #45 A9WriteAdr
{ 1, 0}, // #46 A9WriteB_A9WriteCycle1_A57WrBackOne
{ 3, 0}, // #47 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #48 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #49 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_4cyc_1M_A57Write_4cyc_1L_A57Write_4cyc_1L_1I_R52WriteMAC_SwiftWriteP1FourCycle_SwiftWriteLM4Cy
{ 4, 0}, // #50 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_4cyc_1M_A57Write_4cyc_1L_1I_R52WriteMACHi_SwiftWriteP1FourCycle_SwiftWriteLM4CyNo
{ 2, 0}, // #51 A9WriteAdr_A57WrBackTwo_SwiftWriteP1TwoCycle
{ 1, 0}, // #52 A9WriteB_A57WrBackOne
{ 3, 0}, // #53 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #54 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #55 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #56 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #57 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_5cyc_1L_A57Write_5cyc_1I_1L_A57Write_5cyc_1L_1I_SwiftP0P0P01FiveCycle
{ 5, 0}, // #58 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_5cyc_1L_A57Write_5cyc_1I_1L_A57Write_5cyc_1L_1I_SwiftWrite5Cycle
{ 3, 0}, // #59 A9WriteAdr
{ 1, 0}, // #60 A9WriteB_A57WrBackOne
{ 3, 0}, // #61 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #62 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #63 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #64 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #65 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 5, 0}, // #66 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 6, 0}, // #67 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_6cyc_1V_A57Write_6cyc_1L_1I
{ 6, 0}, // #68 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_6cyc_1V_A57Write_6cyc_1L_1I
{ 4, 0}, // #69 A9WriteAdr_A57Write_4cyc_1L_1I_SwiftWriteP2P01P01FourCycle_SwiftWriteLM4Cy_SwiftWrite3xP2FourCy_SwiftWrite2xP2FourCy
{ 1, 0}, // #70 A9WriteB_A57WrBackOne_SwiftWrBackOne_SwiftWriteP01OneCycle
{ 3, 0}, // #71 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #72 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #73 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #74 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #75 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 5, 0}, // #76 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 6, 0}, // #77 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 6, 0}, // #78 A9WriteCycle1_A57Write_6cyc_1L_1I_SwiftWriteP1TwoCycle
{ 7, 0}, // #79 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_7cyc_1L_1I_SwiftWriteP1TwoCycle
{ 7, 0}, // #80 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_7cyc_1L_1I
{ 5, 0}, // #81 A9WriteAdr
{ 1, 0}, // #82 A9WriteB_A57WrBackOne
{ 3, 0}, // #83 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #84 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #85 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #86 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #87 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 5, 0}, // #88 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 6, 0}, // #89 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 6, 0}, // #90 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 7, 0}, // #91 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 7, 0}, // #92 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 8, 0}, // #93 A9WriteCycle1_A9WriteAdr_A9WriteLfpOp_A57Write_8cyc_1L_1V_A57Write_8cyc_1L_1I
{ 8, 0}, // #94 A9WriteCycle1_A9WriteLfpOp_A9WriteAdr_A57Write_8cyc_1L_1V_A57Write_8cyc_1L_1I
{ 6, 0}, // #95 A9WriteAdr
{ 1, 0}, // #96 A9WriteB_A57WrBackOne
{ 3, 0}, // #97 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #98 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #99 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #100 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #101 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 5, 0}, // #102 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 6, 0}, // #103 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 6, 0}, // #104 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 7, 0}, // #105 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 7, 0}, // #106 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 8, 0}, // #107 A9WriteCycle1_A57Write_8cyc_1L_1I
{ 8, 0}, // #108 A9WriteCycle1_A57Write_8cyc_1L_1I
{ 9, 0}, // #109 A9WriteCycle1_A57Write_9cyc_1L_1I
{ 9, 0}, // #110 A9WriteCycle1_A57Write_9cyc_1L_1I
{ 7, 0}, // #111 A9WriteAdr
{ 1, 0}, // #112 A9WriteB_A57WrBackOne
{ 3, 0}, // #113 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 3, 0}, // #114 A9WriteCycle1_A57Write_3cyc_1L_A57Write_3cyc_1L_1I
{ 4, 0}, // #115 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 4, 0}, // #116 A9WriteCycle1_A57Write_4cyc_1L_1I
{ 5, 0}, // #117 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 5, 0}, // #118 A9WriteCycle1_A57Write_5cyc_1L_1I
{ 6, 0}, // #119 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 6, 0}, // #120 A9WriteCycle1_A57Write_6cyc_1L_1I
{ 7, 0}, // #121 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 7, 0}, // #122 A9WriteCycle1_A57Write_7cyc_1L_1I
{ 8, 0}, // #123 A9WriteCycle1_A57Write_8cyc_1L_1I
{ 8, 0}, // #124 A9WriteCycle1_A57Write_8cyc_1L_1I
{ 9, 0}, // #125 A9WriteCycle1_A57Write_9cyc_1L_1I
{ 9, 0}, // #126 A9WriteCycle1_A57Write_9cyc_1L_1I
{10, 0}, // #127 A9WriteCycle1_A57Write_10cyc_1L_1I
{10, 0}, // #128 A9WriteCycle1_A57Write_10cyc_1L_1I
{ 8, 0}, // #129 A9WriteAdr_A57Write_8cyc_1L_1V_1I
{ 1, 0}, // #130 A9WriteB_A57WrBackOne
{ 3, 0}, // #131 A9WriteCycle1
{ 3, 0}, // #132 A9WriteCycle1
{ 4, 0}, // #133 A9WriteCycle1
{ 4, 0}, // #134 A9WriteCycle1
{ 5, 0}, // #135 A9WriteCycle1
{ 5, 0}, // #136 A9WriteCycle1
{ 6, 0}, // #137 A9WriteCycle1
{ 6, 0}, // #138 A9WriteCycle1
{ 7, 0}, // #139 A9WriteCycle1
{ 7, 0}, // #140 A9WriteCycle1
{ 8, 0}, // #141 A9WriteCycle1
{ 8, 0}, // #142 A9WriteCycle1
{ 9, 0}, // #143 A9WriteCycle1
{ 9, 0}, // #144 A9WriteCycle1
{10, 0}, // #145 A9WriteCycle1
{10, 0}, // #146 A9WriteCycle1
{ 2, 0}, // #147 A9WriteAdr
{ 1, 0}, // #148 A9WriteB
{ 1, 0}, // #149 A9WriteAdr
{ 3, 0}, // #150 A9WriteCycle1
{ 3, 0}, // #151 A9WriteCycle1
{ 0, 0}, // #152 A9WriteIssue
{ 2, 0}, // #153 A9WriteAdr
{ 3, 0}, // #154 A9WriteCycle1
{ 3, 0}, // #155 A9WriteCycle1
{ 4, 0}, // #156 A9WriteCycle1
{ 4, 0}, // #157 A9WriteCycle1_R52WriteLd
{ 0, 0}, // #158 A9WriteIssue_R52WriteAdr
{ 3, 0}, // #159 A9WriteAdr
{ 3, 0}, // #160 A9WriteCycle1
{ 3, 0}, // #161 A9WriteCycle1
{ 4, 0}, // #162 A9WriteCycle1
{ 4, 0}, // #163 A9WriteCycle1
{ 5, 0}, // #164 A9WriteCycle1
{ 5, 0}, // #165 A9WriteCycle1_R52WriteVST1Mem_R52WriteLM5Cy
{ 0, 0}, // #166 A9WriteIssue_R52WriteAdr_R52ReserveLd5Cy
{ 4, 0}, // #167 A9WriteAdr_A57Write_4cyc_1I_1L_1M
{ 3, 0}, // #168 A9WriteCycle1_A57WrBackThree
{ 3, 0}, // #169 A9WriteCycle1
{ 4, 0}, // #170 A9WriteCycle1
{ 4, 0}, // #171 A9WriteCycle1
{ 5, 0}, // #172 A9WriteCycle1
{ 5, 0}, // #173 A9WriteCycle1
{ 6, 0}, // #174 A9WriteCycle1
{ 6, 0}, // #175 A9WriteCycle1_R52WriteVST2Mem_SwiftWriteLM6Cy
{ 0, 0}, // #176 A9WriteIssue_R52WriteAdr_SwiftVLDMPerm2
{ 5, 0}, // #177 A9WriteAdr
{ 3, 0}, // #178 A9WriteCycle1
{ 3, 0}, // #179 A9WriteCycle1
{ 4, 0}, // #180 A9WriteCycle1
{ 4, 0}, // #181 A9WriteCycle1
{ 5, 0}, // #182 A9WriteCycle1
{ 5, 0}, // #183 A9WriteCycle1
{ 6, 0}, // #184 A9WriteCycle1
{ 6, 0}, // #185 A9WriteCycle1
{ 7, 0}, // #186 A9WriteCycle1
{ 7, 0}, // #187 A9WriteCycle1_R52WriteVST3Mem
{ 0, 0}, // #188 A9WriteIssue_R52WriteAdr
{ 6, 0}, // #189 A9WriteAdr
{ 3, 0}, // #190 A9WriteCycle1
{ 3, 0}, // #191 A9WriteCycle1
{ 4, 0}, // #192 A9WriteCycle1
{ 4, 0}, // #193 A9WriteCycle1
{ 5, 0}, // #194 A9WriteCycle1
{ 5, 0}, // #195 A9WriteCycle1
{ 6, 0}, // #196 A9WriteCycle1
{ 6, 0}, // #197 A9WriteCycle1
{ 7, 0}, // #198 A9WriteCycle1
{ 7, 0}, // #199 A9WriteCycle1
{ 8, 0}, // #200 A9WriteCycle1
{ 8, 0}, // #201 A9WriteCycle1_R52WriteVST4Mem_R52WriteIStIncAddr
{ 0, 0}, // #202 A9WriteIssue_R52WriteAdr
{ 7, 0}, // #203 A9WriteAdr
{ 3, 0}, // #204 A9WriteCycle1
{ 3, 0}, // #205 A9WriteCycle1
{ 4, 0}, // #206 A9WriteCycle1
{ 4, 0}, // #207 A9WriteCycle1
{ 5, 0}, // #208 A9WriteCycle1
{ 5, 0}, // #209 A9WriteCycle1
{ 6, 0}, // #210 A9WriteCycle1
{ 6, 0}, // #211 A9WriteCycle1
{ 7, 0}, // #212 A9WriteCycle1
{ 7, 0}, // #213 A9WriteCycle1
{ 8, 0}, // #214 A9WriteCycle1
{ 8, 0}, // #215 A9WriteCycle1
{ 9, 0}, // #216 A9WriteCycle1
{ 9, 0}, // #217 A9WriteCycle1_R52WriteVST5Mem
{ 0, 0}, // #218 A9WriteIssue_R52WriteAdr
{ 8, 0}, // #219 A9WriteAdr
{ 3, 0}, // #220 A9WriteCycle1
{ 3, 0}, // #221 A9WriteCycle1
{ 4, 0}, // #222 A9WriteCycle1
{ 4, 0}, // #223 A9WriteCycle1
{ 5, 0}, // #224 A9WriteCycle1
{ 5, 0}, // #225 A9WriteCycle1
{ 6, 0}, // #226 A9WriteCycle1
{ 6, 0}, // #227 A9WriteCycle1
{ 7, 0}, // #228 A9WriteCycle1
{ 7, 0}, // #229 A9WriteCycle1
{ 8, 0}, // #230 A9WriteCycle1
{ 8, 0}, // #231 A9WriteCycle1
{ 9, 0}, // #232 A9WriteCycle1
{ 9, 0}, // #233 A9WriteCycle1
{10, 0}, // #234 A9WriteCycle1
{10, 0}, // #235 A9WriteCycle1
{ 0, 0}, // #236 A9WriteIssue
{ 2, 0}, // #237 A9WriteAdr
{ 3, 0}, // #238 A9WriteCycle1
{ 3, 0}, // #239 A9WriteCycle1
{ 4, 0}, // #240 A9WriteCycle1
{ 4, 0}, // #241 A9WriteCycle1
{ 5, 0}, // #242 A9WriteCycle1
{ 5, 0}, // #243 A9WriteCycle1
{ 6, 0}, // #244 A9WriteCycle1
{ 6, 0}, // #245 A9WriteCycle1
{ 7, 0}, // #246 A9WriteCycle1
{ 7, 0}, // #247 A9WriteCycle1
{ 8, 0}, // #248 A9WriteCycle1
{ 8, 0}, // #249 A9WriteCycle1
{ 9, 0}, // #250 A9WriteCycle1
{ 9, 0}, // #251 A9WriteCycle1
{10, 0}, // #252 A9WriteCycle1
{10, 0}, // #253 A9WriteCycle1
{ 0, 0}, // #254 A9WriteIssue
{ 3, 0}, // #255 A9WriteCycle1
{ 3, 0}, // #256 A9WriteCycle1
{ 1, 0}, // #257 A9WriteAdr_SwiftWriteP01OneCycle
{ 0, 0}, // #258 A9WriteIssue_SwiftWriteP2_SwiftWriteStIncAddr
{ 3, 0}, // #259 A9WriteCycle1
{ 3, 0}, // #260 A9WriteCycle1
{ 4, 0}, // #261 A9WriteCycle1
{ 4, 0}, // #262 A9WriteCycle1
{ 2, 0}, // #263 A9WriteAdr_SwiftWriteP01OneCycle
{ 0, 0}, // #264 A9WriteIssue_SwiftWriteStIncAddr
{ 3, 0}, // #265 A9WriteCycle1
{ 3, 0}, // #266 A9WriteCycle1
{ 4, 0}, // #267 A9WriteCycle1
{ 4, 0}, // #268 A9WriteCycle1
{ 5, 0}, // #269 A9WriteCycle1
{ 5, 0}, // #270 A9WriteCycle1
{ 3, 0}, // #271 A9WriteAdr
{ 0, 0}, // #272 A9WriteIssue
{ 3, 0}, // #273 A9WriteCycle1
{ 3, 0}, // #274 A9WriteCycle1
{ 4, 0}, // #275 A9WriteCycle1
{ 4, 0}, // #276 A9WriteCycle1
{ 5, 0}, // #277 A9WriteCycle1
{ 5, 0}, // #278 A9WriteCycle1
{ 6, 0}, // #279 A9WriteCycle1
{ 6, 0}, // #280 A9WriteCycle1
{ 4, 0}, // #281 A9WriteAdr
{ 0, 0}, // #282 A9WriteIssue
{ 3, 0}, // #283 A9WriteCycle1
{ 3, 0}, // #284 A9WriteCycle1
{ 4, 0}, // #285 A9WriteCycle1
{ 4, 0}, // #286 A9WriteCycle1
{ 5, 0}, // #287 A9WriteCycle1
{ 5, 0}, // #288 A9WriteCycle1
{ 6, 0}, // #289 A9WriteCycle1
{ 6, 0}, // #290 A9WriteCycle1
{ 7, 0}, // #291 A9WriteCycle1
{ 7, 0}, // #292 A9WriteCycle1
{ 5, 0}, // #293 A9WriteAdr
{ 0, 0}, // #294 A9WriteIssue
{ 3, 0}, // #295 A9WriteCycle1
{ 3, 0}, // #296 A9WriteCycle1
{ 4, 0}, // #297 A9WriteCycle1
{ 4, 0}, // #298 A9WriteCycle1
{ 5, 0}, // #299 A9WriteCycle1
{ 5, 0}, // #300 A9WriteCycle1
{ 6, 0}, // #301 A9WriteCycle1
{ 6, 0}, // #302 A9WriteCycle1
{ 7, 0}, // #303 A9WriteCycle1
{ 7, 0}, // #304 A9WriteCycle1
{ 8, 0}, // #305 A9WriteCycle1
{ 8, 0}, // #306 A9WriteCycle1
{ 6, 0}, // #307 A9WriteAdr
{ 0, 0}, // #308 A9WriteIssue
{ 3, 0}, // #309 A9WriteCycle1
{ 3, 0}, // #310 A9WriteCycle1
{ 4, 0}, // #311 A9WriteCycle1
{ 4, 0}, // #312 A9WriteCycle1
{ 5, 0}, // #313 A9WriteCycle1
{ 5, 0}, // #314 A9WriteCycle1
{ 6, 0}, // #315 A9WriteCycle1
{ 6, 0}, // #316 A9WriteCycle1
{ 7, 0}, // #317 A9WriteCycle1
{ 7, 0}, // #318 A9WriteCycle1
{ 8, 0}, // #319 A9WriteCycle1
{ 8, 0}, // #320 A9WriteCycle1
{ 9, 0}, // #321 A9WriteCycle1
{ 9, 0}, // #322 A9WriteCycle1
{ 7, 0}, // #323 A9WriteAdr
{ 0, 0}, // #324 A9WriteIssue
{ 3, 0}, // #325 A9WriteCycle1
{ 3, 0}, // #326 A9WriteCycle1
{ 4, 0}, // #327 A9WriteCycle1
{ 4, 0}, // #328 A9WriteCycle1
{ 5, 0}, // #329 A9WriteCycle1
{ 5, 0}, // #330 A9WriteCycle1
{ 6, 0}, // #331 A9WriteCycle1
{ 6, 0}, // #332 A9WriteCycle1
{ 7, 0}, // #333 A9WriteCycle1
{ 7, 0}, // #334 A9WriteCycle1
{ 8, 0}, // #335 A9WriteCycle1
{ 8, 0}, // #336 A9WriteCycle1
{ 9, 0}, // #337 A9WriteCycle1
{ 9, 0}, // #338 A9WriteCycle1
{10, 0}, // #339 A9WriteCycle1
{10, 0}, // #340 A9WriteCycle1
{ 8, 0}, // #341 A9WriteAdr
{ 0, 0}, // #342 A9WriteIssue
{ 3, 0}, // #343 A9WriteCycle1
{ 3, 0}, // #344 A9WriteCycle1
{ 4, 0}, // #345 A9WriteCycle1
{ 4, 0}, // #346 A9WriteCycle1
{ 5, 0}, // #347 A9WriteCycle1
{ 5, 0}, // #348 A9WriteCycle1
{ 6, 0}, // #349 A9WriteCycle1
{ 6, 0}, // #350 A9WriteCycle1
{ 7, 0}, // #351 A9WriteCycle1
{ 7, 0}, // #352 A9WriteCycle1
{ 8, 0}, // #353 A9WriteCycle1
{ 8, 0}, // #354 A9WriteCycle1
{ 9, 0}, // #355 A9WriteCycle1
{ 9, 0}, // #356 A9WriteCycle1
{10, 0}, // #357 A9WriteCycle1
{10, 0}, // #358 A9WriteCycle1
{ 2, 0}, // #359 A9WriteAdr
{ 0, 0}, // #360 A9WriteIssue
{ 2, 0}, // #361 A9WriteAdr
{ 1, 0}, // #362 A9WriteCycle1
{ 2, 0}, // #363 A9WriteCycle1_SwiftWriteP01OneCycle
{ 4, 0}, // #364 A9WriteCycle1_SwiftWriteLM4Cy
{ 4, 0}, // #365 A9WriteCycle1_SwiftWriteLM4CyNo
{ 3, 0}, // #366 A9WriteAdr
{ 1, 0}, // #367 A9WriteCycle1
{ 2, 0}, // #368 A9WriteCycle1
{ 3, 0}, // #369 A9WriteCycle1
{ 4, 0}, // #370 A9WriteCycle1
{ 5, 0}, // #371 A9WriteCycle1
{ 5, 0}, // #372 A9WriteCycle1
{ 4, 0}, // #373 A9WriteAdr
{ 1, 0}, // #374 A9WriteCycle1
{ 2, 0}, // #375 A9WriteCycle1
{ 3, 0}, // #376 A9WriteCycle1
{ 4, 0}, // #377 A9WriteCycle1
{ 5, 0}, // #378 A9WriteCycle1
{ 5, 0}, // #379 A9WriteCycle1
{ 6, 0}, // #380 A9WriteCycle1
{ 6, 0}, // #381 A9WriteCycle1
{ 5, 0}, // #382 A9WriteAdr
{ 1, 0}, // #383 A9WriteCycle1
{ 2, 0}, // #384 A9WriteCycle1
{ 3, 0}, // #385 A9WriteCycle1
{ 4, 0}, // #386 A9WriteCycle1
{ 5, 0}, // #387 A9WriteCycle1
{ 5, 0}, // #388 A9WriteCycle1
{ 6, 0}, // #389 A9WriteCycle1
{ 6, 0}, // #390 A9WriteCycle1
{ 7, 0}, // #391 A9WriteCycle1
{ 7, 0}, // #392 A9WriteCycle1
{ 6, 0}, // #393 A9WriteAdr
{ 1, 0}, // #394 A9WriteCycle1
{ 2, 0}, // #395 A9WriteCycle1
{ 3, 0}, // #396 A9WriteCycle1
{ 4, 0}, // #397 A9WriteCycle1_R52WriteILDM4Cy
{ 5, 0}, // #398 A9WriteCycle1_R52WriteILDM5Cy
{ 6, 0}, // #399 A9WriteCycle1_R52WriteILDM6Cy
{ 6, 0}, // #400 A9WriteCycle1
{ 6, 0}, // #401 A9WriteCycle1
{ 7, 0}, // #402 A9WriteCycle1
{ 7, 0}, // #403 A9WriteCycle1
{ 8, 0}, // #404 A9WriteCycle1
{ 8, 0}, // #405 A9WriteCycle1
{ 7, 0}, // #406 A9WriteAdr
{ 1, 0}, // #407 A9WriteCycle1
{ 2, 0}, // #408 A9WriteCycle1
{ 3, 0}, // #409 A9WriteCycle1
{ 4, 0}, // #410 A9WriteCycle1_R52WriteILDM4Cy
{ 5, 0}, // #411 A9WriteCycle1_R52WriteILDM5Cy
{ 6, 0}, // #412 A9WriteCycle1_R52WriteILDM6Cy
{ 7, 0}, // #413 A9WriteCycle1_R52WriteILDM7Cy
{ 6, 0}, // #414 A9WriteCycle1
{ 7, 0}, // #415 A9WriteCycle1
{ 7, 0}, // #416 A9WriteCycle1
{ 8, 0}, // #417 A9WriteCycle1
{ 8, 0}, // #418 A9WriteCycle1
{ 9, 0}, // #419 A9WriteCycle1
{ 9, 0}, // #420 A9WriteCycle1
{ 8, 0}, // #421 A9WriteAdr
{ 1, 0}, // #422 A9WriteCycle1
{ 2, 0}, // #423 A9WriteCycle1
{ 3, 0}, // #424 A9WriteCycle1
{ 4, 0}, // #425 A9WriteCycle1_R52WriteILDM4Cy
{ 5, 0}, // #426 A9WriteCycle1_A57Write_5cyc_1L_R52WriteILDM5Cy
{ 6, 0}, // #427 A9WriteCycle1_A57Write_6cyc_1L_R52WriteILDM6Cy
{ 7, 0}, // #428 A9WriteCycle1_A57Write_7cyc_1L_R52WriteILDM7Cy
{ 8, 0}, // #429 A9WriteCycle1_A57Write_8cyc_1L_R52WriteILDM8Cy
{ 7, 0}, // #430 A9WriteCycle1
{ 7, 0}, // #431 A9WriteCycle1
{ 8, 0}, // #432 A9WriteCycle1
{ 8, 0}, // #433 A9WriteCycle1
{ 9, 0}, // #434 A9WriteCycle1
{ 9, 0}, // #435 A9WriteCycle1
{10, 0}, // #436 A9WriteCycle1
{10, 0}, // #437 A9WriteCycle1
{ 2, 0}, // #438 A9WriteAdr
{ 1, 0}, // #439 A9WriteCycle1
{ 2, 0}, // #440 A9WriteCycle1
{ 5, 0}, // #441 A9WriteCycle1_A57Write_5cyc_1L
{ 6, 0}, // #442 A9WriteCycle1_A57Write_6cyc_1L
{ 7, 0}, // #443 A9WriteCycle1_A57Write_7cyc_1L
{ 8, 0}, // #444 A9WriteCycle1_A57Write_8cyc_1L
{ 9, 0}, // #445 A9WriteCycle1_A57Write_9cyc_1L
{10, 0}, // #446 A9WriteCycle1_A57Write_10cyc_1L
{ 7, 0}, // #447 A9WriteCycle1
{ 7, 0}, // #448 A9WriteCycle1
{ 8, 0}, // #449 A9WriteCycle1
{ 8, 0}, // #450 A9WriteCycle1
{ 9, 0}, // #451 A9WriteCycle1
{ 9, 0}, // #452 A9WriteCycle1
{10, 0}, // #453 A9WriteCycle1
{10, 0}, // #454 A9WriteCycle1
{ 1, 0}, // #455 A9WriteCycle1
{ 2, 0}, // #456 A9WriteCycle1
{ 4, 0}, // #457 A9WriteCycle1
{ 4, 0}, // #458 A9WriteCycle1
{ 2, 0}, // #459 A9WriteAdr
{ 1, 0}, // #460 A9WriteCycle1
{ 2, 0}, // #461 A9WriteCycle1
{ 3, 0}, // #462 A9WriteCycle1
{ 4, 0}, // #463 A9WriteCycle1
{ 5, 0}, // #464 A9WriteCycle1
{ 5, 0}, // #465 A9WriteCycle1
{ 3, 0}, // #466 A9WriteAdr
{ 1, 0}, // #467 A9WriteCycle1
{ 2, 0}, // #468 A9WriteCycle1
{ 3, 0}, // #469 A9WriteCycle1
{ 4, 0}, // #470 A9WriteCycle1
{ 5, 0}, // #471 A9WriteCycle1
{ 5, 0}, // #472 A9WriteCycle1
{ 6, 0}, // #473 A9WriteCycle1
{ 6, 0}, // #474 A9WriteCycle1
{ 4, 0}, // #475 A9WriteAdr
{ 1, 0}, // #476 A9WriteCycle1
{ 2, 0}, // #477 A9WriteCycle1
{ 3, 0}, // #478 A9WriteCycle1
{ 4, 0}, // #479 A9WriteCycle1
{ 5, 0}, // #480 A9WriteCycle1
{ 5, 0}, // #481 A9WriteCycle1
{ 6, 0}, // #482 A9WriteCycle1
{ 6, 0}, // #483 A9WriteCycle1
{ 7, 0}, // #484 A9WriteCycle1
{ 7, 0}, // #485 A9WriteCycle1
{ 5, 0}, // #486 A9WriteAdr
{ 1, 0}, // #487 A9WriteCycle1
{ 2, 0}, // #488 A9WriteCycle1
{ 3, 0}, // #489 A9WriteCycle1
{ 4, 0}, // #490 A9WriteCycle1
{ 5, 0}, // #491 A9WriteCycle1
{ 6, 0}, // #492 A9WriteCycle1
{ 6, 0}, // #493 A9WriteCycle1
{ 6, 0}, // #494 A9WriteCycle1
{ 7, 0}, // #495 A9WriteCycle1
{ 7, 0}, // #496 A9WriteCycle1
{ 8, 0}, // #497 A9WriteCycle1
{ 8, 0}, // #498 A9WriteCycle1
{ 6, 0}, // #499 A9WriteAdr
{ 1, 0}, // #500 A9WriteCycle1
{ 2, 0}, // #501 A9WriteCycle1
{ 3, 0}, // #502 A9WriteCycle1
{ 4, 0}, // #503 A9WriteCycle1
{ 5, 0}, // #504 A9WriteCycle1
{ 6, 0}, // #505 A9WriteCycle1
{ 7, 0}, // #506 A9WriteCycle1
{ 6, 0}, // #507 A9WriteCycle1
{ 7, 0}, // #508 A9WriteCycle1
{ 7, 0}, // #509 A9WriteCycle1
{ 8, 0}, // #510 A9WriteCycle1
{ 8, 0}, // #511 A9WriteCycle1
{ 9, 0}, // #512 A9WriteCycle1
{ 9, 0}, // #513 A9WriteCycle1
{ 7, 0}, // #514 A9WriteAdr
{ 1, 0}, // #515 A9WriteCycle1
{ 2, 0}, // #516 A9WriteCycle1
{ 3, 0}, // #517 A9WriteCycle1
{ 4, 0}, // #518 A9WriteCycle1
{ 5, 0}, // #519 A9WriteCycle1
{ 6, 0}, // #520 A9WriteCycle1
{ 7, 0}, // #521 A9WriteCycle1
{ 8, 0}, // #522 A9WriteCycle1
{ 7, 0}, // #523 A9WriteCycle1
{ 7, 0}, // #524 A9WriteCycle1
{ 8, 0}, // #525 A9WriteCycle1
{ 8, 0}, // #526 A9WriteCycle1
{ 9, 0}, // #527 A9WriteCycle1
{ 9, 0}, // #528 A9WriteCycle1
{10, 0}, // #529 A9WriteCycle1
{10, 0}, // #530 A9WriteCycle1
{ 8, 0}, // #531 A9WriteAdr
{ 1, 0}, // #532 A9WriteCycle1
{ 2, 0}, // #533 A9WriteCycle1
{ 5, 0}, // #534 A9WriteCycle1
{ 6, 0}, // #535 A9WriteCycle1
{ 7, 0}, // #536 A9WriteCycle1
{ 8, 0}, // #537 A9WriteCycle1
{ 9, 0}, // #538 A9WriteCycle1
{10, 0}, // #539 A9WriteCycle1
{ 7, 0}, // #540 A9WriteCycle1
{ 7, 0}, // #541 A9WriteCycle1
{ 8, 0}, // #542 A9WriteCycle1
{ 8, 0}, // #543 A9WriteCycle1
{ 9, 0}, // #544 A9WriteCycle1
{ 9, 0}, // #545 A9WriteCycle1
{10, 0}, // #546 A9WriteCycle1
{10, 0}, // #547 A9WriteCycle1
{ 2, 0}, // #548 A9WriteAdr
{ 3, 146}, // #549 A57WriteMLA
{ 4, 147}, // #550 A57WriteMLAL
{ 4, 147}, // #551 A57WriteMLAL
{20, 0}, // #552 A57Write_20cyc_1M_R52WriteIStIncAddr
{ 9, 169}, // #553 A57WriteVFMA
{ 5, 180}, // #554 A57WriteVMUL
{ 4, 0}, // #555 A57Write_4cyc_1L_1I
{ 4, 0}, // #556 A57Write_4cyc_1L_1I
{ 1, 0}, // #557 A57WrBackOne_SwiftWriteP01OneCycle
{ 0, 0}, // #558 WriteNoop_SwiftWriteP2
{ 0, 0}, // #559 WriteNoop_SwiftVLDMPerm1_SwiftVLDMPerm2_SwiftVLDMPerm4
{ 4, 167}, // #560 A57WriteVABAL
{ 5, 168}, // #561 A57WriteVABAQ
{ 4, 185}, // #562 A57WriteVPADAL
{ 4, 187}, // #563 A57WriteVSRA
{ 5, 184}, // #564 A57WriteVMUL_VecFP
{ 9, 179}, // #565 A57WriteVMLA_VecFP
{ 9, 0}, // #566 A57Write_9cyc_1L_1V_1I
{ 1, 0}, // #567 A57WrBackOne
{ 9, 0}, // #568 A57Write_9cyc_1L_1V
{ 9, 0}, // #569 A57Write_9cyc_1L_1V
{ 9, 0}, // #570 A57Write_9cyc_1L_1V_A57Write_9cyc_1L_1V_1I
{ 9, 0}, // #571 A57Write_9cyc_1L_1V_1I
{ 9, 0}, // #572 A57Write_9cyc_1L_1V_1I
{ 9, 0}, // #573 A57Write_9cyc_1L_1V_1I
{ 1, 0}, // #574 A57WrBackOne
{ 8, 0}, // #575 A57Write_8cyc_1L_1V_1I
{ 8, 0}, // #576 A57Write_8cyc_1L_1V
{ 1, 0}, // #577 A57WrBackOne
{ 8, 0}, // #578 A57Write_8cyc_1L_1V
{ 8, 0}, // #579 A57Write_8cyc_1L_1V
{ 8, 0}, // #580 A57Write_8cyc_1L_1V_A57Write_8cyc_1L_1V_1I
{ 8, 0}, // #581 A57Write_8cyc_1L_1V_1I
{ 8, 0}, // #582 A57Write_8cyc_1L_1V_1I
{ 8, 0}, // #583 A57Write_8cyc_1L_1V_1I
{ 1, 0}, // #584 A57WrBackOne
{ 4, 166}, // #585 A57WriteVABAD
{ 4, 0}, // #586 A57Write_4cyc_1L_1I
{ 4, 0}, // #587 A57Write_4cyc_1L_1I
{ 5, 0}, // #588 A57Write_5cyc_1L_1I
{ 5, 0}, // #589 A57Write_5cyc_1L_1I
{ 6, 0}, // #590 A57Write_6cyc_1L_1I
{ 6, 0}, // #591 A57Write_6cyc_1L_1I
{ 7, 0}, // #592 A57Write_7cyc_1L_1I
{ 7, 0}, // #593 A57Write_7cyc_1L_1I
{ 8, 0}, // #594 A57Write_8cyc_1L_1I
{ 8, 0}, // #595 A57Write_8cyc_1L_1I
{ 9, 0}, // #596 A57Write_9cyc_1L_1I
{ 9, 0}, // #597 A57Write_9cyc_1L_1I
{10, 0}, // #598 A57Write_10cyc_1L_1I
{10, 0}, // #599 A57Write_10cyc_1L_1I
{11, 0}, // #600 A57Write_11cyc_1L_1I_WriteFPMAC64_WriteFPMAC32_R52WriteFPMAC_F5_R52Write2FPMAC_F5
{11, 0}, // #601 A57Write_11cyc_1L_1I
{ 1, 0}, // #602 A57WrBackOne
{ 5, 0}, // #603 A57Write_5cyc_1S_1I
{ 1, 0}, // #604 A57WrBackOne
{ 7, 0}, // #605 A57Write_7cyc_1S_1I
{ 5, 0}, // #606 A57Write_5cyc_1L
{ 6, 0}, // #607 A57Write_6cyc_1L
{ 7, 0}, // #608 A57Write_7cyc_1L
{ 8, 0}, // #609 A57Write_8cyc_1L
{ 9, 0}, // #610 A57Write_9cyc_1L
{10, 0}, // #611 A57Write_10cyc_1L
{11, 0}, // #612 A57Write_11cyc_1L
{12, 0}, // #613 A57Write_12cyc_1L_A57Write_12cyc_1S_R52WriteIStIncAddr_SwiftWriteP1TwelveCyc
{ 5, 0}, // #614 A57Write_5cyc_1L
{ 6, 0}, // #615 A57Write_6cyc_1L
{ 7, 0}, // #616 A57Write_7cyc_1L
{ 8, 0}, // #617 A57Write_8cyc_1L
{ 9, 0}, // #618 A57Write_9cyc_1L
{10, 0}, // #619 A57Write_10cyc_1L
{11, 0}, // #620 A57Write_11cyc_1L
{12, 0}, // #621 A57Write_12cyc_1L
{13, 0}, // #622 A57Write_13cyc_1L
{14, 0}, // #623 A57Write_14cyc_1L_A57Write_14cyc_1S_WriteFPDIV64_WriteFPDIV32_WriteFPSQRT64_WriteFPSQRT32_SwiftDiv
{ 5, 0}, // #624 A57Write_5cyc_1L
{ 6, 0}, // #625 A57Write_6cyc_1L
{ 7, 0}, // #626 A57Write_7cyc_1L
{ 8, 0}, // #627 A57Write_8cyc_1L
{ 9, 0}, // #628 A57Write_9cyc_1L
{10, 0}, // #629 A57Write_10cyc_1L
{11, 0}, // #630 A57Write_11cyc_1L
{12, 0}, // #631 A57Write_12cyc_1L
{13, 0}, // #632 A57Write_13cyc_1L
{14, 0}, // #633 A57Write_14cyc_1L
{15, 0}, // #634 A57Write_15cyc_1L
{16, 0}, // #635 A57Write_16cyc_1L_A57Write_16cyc_1S_R52WriteIStIncAddr
{ 5, 0}, // #636 A57Write_5cyc_1L
{ 6, 0}, // #637 A57Write_6cyc_1L
{ 7, 0}, // #638 A57Write_7cyc_1L
{ 8, 0}, // #639 A57Write_8cyc_1L
{ 9, 0}, // #640 A57Write_9cyc_1L
{10, 0}, // #641 A57Write_10cyc_1L
{11, 0}, // #642 A57Write_11cyc_1L
{12, 0}, // #643 A57Write_12cyc_1L
{13, 0}, // #644 A57Write_13cyc_1L
{14, 0}, // #645 A57Write_14cyc_1L
{15, 0}, // #646 A57Write_15cyc_1L
{16, 0}, // #647 A57Write_16cyc_1L
{17, 0}, // #648 A57Write_17cyc_1L
{18, 0}, // #649 A57Write_18cyc_1L
{ 5, 0}, // #650 A57Write_5cyc_1L
{ 6, 0}, // #651 A57Write_6cyc_1L
{ 7, 0}, // #652 A57Write_7cyc_1L
{ 8, 0}, // #653 A57Write_8cyc_1L
{ 9, 0}, // #654 A57Write_9cyc_1L
{10, 0}, // #655 A57Write_10cyc_1L
{11, 0}, // #656 A57Write_11cyc_1L
{12, 0}, // #657 A57Write_12cyc_1L
{13, 0}, // #658 A57Write_13cyc_1L
{14, 0}, // #659 A57Write_14cyc_1L
{15, 0}, // #660 A57Write_15cyc_1L
{16, 0}, // #661 A57Write_16cyc_1L
{17, 0}, // #662 A57Write_17cyc_1L
{18, 0}, // #663 A57Write_18cyc_1L
{19, 0}, // #664 A57Write_19cyc_1L
{20, 0}, // #665 A57Write_20cyc_1L
{ 5, 0}, // #666 A57Write_5cyc_1L
{ 5, 0}, // #667 A57Write_5cyc_1L
{ 6, 0}, // #668 A57Write_6cyc_1L
{ 6, 0}, // #669 A57Write_6cyc_1L
{ 7, 0}, // #670 A57Write_7cyc_1L
{ 7, 0}, // #671 A57Write_7cyc_1L
{ 8, 0}, // #672 A57Write_8cyc_1L
{ 8, 0}, // #673 A57Write_8cyc_1L
{ 9, 0}, // #674 A57Write_9cyc_1L
{ 9, 0}, // #675 A57Write_9cyc_1L
{10, 0}, // #676 A57Write_10cyc_1L
{10, 0}, // #677 A57Write_10cyc_1L
{11, 0}, // #678 A57Write_11cyc_1L
{11, 0}, // #679 A57Write_11cyc_1L
{12, 0}, // #680 A57Write_12cyc_1L
{12, 0}, // #681 A57Write_12cyc_1L
{ 1, 0}, // #682 A57WrBackOne
{ 5, 0}, // #683 A57Write_5cyc_1L_1I
{ 6, 0}, // #684 A57Write_6cyc_1L_1I
{ 1, 0}, // #685 A57WrBackOne
{ 5, 0}, // #686 A57Write_5cyc_1L_1I
{ 6, 0}, // #687 A57Write_6cyc_1L_1I
{ 7, 0}, // #688 A57Write_7cyc_1L_1I
{ 8, 0}, // #689 A57Write_8cyc_1L_1I
{ 1, 0}, // #690 A57WrBackOne
{ 5, 0}, // #691 A57Write_5cyc_1L_1I
{ 6, 0}, // #692 A57Write_6cyc_1L_1I
{ 7, 0}, // #693 A57Write_7cyc_1L_1I
{ 8, 0}, // #694 A57Write_8cyc_1L_1I
{ 9, 0}, // #695 A57Write_9cyc_1L_1I
{10, 0}, // #696 A57Write_10cyc_1L_1I
{ 1, 0}, // #697 A57WrBackOne
{ 5, 0}, // #698 A57Write_5cyc_1L_1I
{ 6, 0}, // #699 A57Write_6cyc_1L_1I
{ 7, 0}, // #700 A57Write_7cyc_1L_1I
{ 8, 0}, // #701 A57Write_8cyc_1L_1I
{ 9, 0}, // #702 A57Write_9cyc_1L_1I
{10, 0}, // #703 A57Write_10cyc_1L_1I
{11, 0}, // #704 A57Write_11cyc_1L_1I
{12, 0}, // #705 A57Write_12cyc_1L_1I
{ 1, 0}, // #706 A57WrBackOne
{ 5, 0}, // #707 A57Write_5cyc_1L_1I
{ 6, 0}, // #708 A57Write_6cyc_1L_1I
{ 7, 0}, // #709 A57Write_7cyc_1L_1I
{ 8, 0}, // #710 A57Write_8cyc_1L_1I
{ 9, 0}, // #711 A57Write_9cyc_1L_1I
{10, 0}, // #712 A57Write_10cyc_1L_1I
{11, 0}, // #713 A57Write_11cyc_1L_1I
{12, 0}, // #714 A57Write_12cyc_1L_1I
{13, 0}, // #715 A57Write_13cyc_1L_1I
{14, 0}, // #716 A57Write_14cyc_1L_1I
{ 1, 0}, // #717 A57WrBackOne
{ 5, 0}, // #718 A57Write_5cyc_1L_1I
{ 6, 0}, // #719 A57Write_6cyc_1L_1I
{ 7, 0}, // #720 A57Write_7cyc_1L_1I
{ 8, 0}, // #721 A57Write_8cyc_1L_1I
{ 9, 0}, // #722 A57Write_9cyc_1L_1I
{10, 0}, // #723 A57Write_10cyc_1L_1I
{11, 0}, // #724 A57Write_11cyc_1L_1I
{12, 0}, // #725 A57Write_12cyc_1L_1I
{13, 0}, // #726 A57Write_13cyc_1L_1I
{14, 0}, // #727 A57Write_14cyc_1L_1I
{15, 0}, // #728 A57Write_15cyc_1L_1I
{16, 0}, // #729 A57Write_16cyc_1L_1I
{ 1, 0}, // #730 A57WrBackOne
{ 5, 0}, // #731 A57Write_5cyc_1L_1I
{ 6, 0}, // #732 A57Write_6cyc_1L_1I
{ 7, 0}, // #733 A57Write_7cyc_1L_1I
{ 8, 0}, // #734 A57Write_8cyc_1L_1I
{ 9, 0}, // #735 A57Write_9cyc_1L_1I
{10, 0}, // #736 A57Write_10cyc_1L_1I
{11, 0}, // #737 A57Write_11cyc_1L_1I
{12, 0}, // #738 A57Write_12cyc_1L_1I
{13, 0}, // #739 A57Write_13cyc_1L_1I
{14, 0}, // #740 A57Write_14cyc_1L_1I
{15, 0}, // #741 A57Write_15cyc_1L_1I
{16, 0}, // #742 A57Write_16cyc_1L_1I
{17, 0}, // #743 A57Write_17cyc_1L_1I
{18, 0}, // #744 A57Write_18cyc_1L_1I
{ 1, 0}, // #745 A57WrBackOne
{ 5, 0}, // #746 A57Write_5cyc_1L_1I
{ 6, 0}, // #747 A57Write_6cyc_1L_1I
{ 7, 0}, // #748 A57Write_7cyc_1L_1I
{ 8, 0}, // #749 A57Write_8cyc_1L_1I
{ 9, 0}, // #750 A57Write_9cyc_1L_1I
{10, 0}, // #751 A57Write_10cyc_1L_1I
{11, 0}, // #752 A57Write_11cyc_1L_1I
{12, 0}, // #753 A57Write_12cyc_1L_1I
{13, 0}, // #754 A57Write_13cyc_1L_1I
{14, 0}, // #755 A57Write_14cyc_1L_1I
{15, 0}, // #756 A57Write_15cyc_1L_1I
{16, 0}, // #757 A57Write_16cyc_1L_1I
{17, 0}, // #758 A57Write_17cyc_1L_1I
{18, 0}, // #759 A57Write_18cyc_1L_1I
{19, 0}, // #760 A57Write_19cyc_1L_1I
{20, 0}, // #761 A57Write_20cyc_1L_1I
{ 1, 0}, // #762 A57WrBackOne
{ 5, 0}, // #763 A57Write_5cyc_1L_1I
{ 5, 0}, // #764 A57Write_5cyc_1L_1I
{ 1, 0}, // #765 A57WrBackOne
{ 5, 0}, // #766 A57Write_5cyc_1L_1I
{ 5, 0}, // #767 A57Write_5cyc_1L_1I
{ 6, 0}, // #768 A57Write_6cyc_1L_1I
{ 6, 0}, // #769 A57Write_6cyc_1L_1I
{ 1, 0}, // #770 A57WrBackOne
{ 5, 0}, // #771 A57Write_5cyc_1L_1I
{ 5, 0}, // #772 A57Write_5cyc_1L_1I
{ 6, 0}, // #773 A57Write_6cyc_1L_1I
{ 6, 0}, // #774 A57Write_6cyc_1L_1I
{ 7, 0}, // #775 A57Write_7cyc_1L_1I
{ 7, 0}, // #776 A57Write_7cyc_1L_1I
{ 1, 0}, // #777 A57WrBackOne
{ 5, 0}, // #778 A57Write_5cyc_1L_1I
{ 5, 0}, // #779 A57Write_5cyc_1L_1I
{ 6, 0}, // #780 A57Write_6cyc_1L_1I
{ 6, 0}, // #781 A57Write_6cyc_1L_1I
{ 7, 0}, // #782 A57Write_7cyc_1L_1I
{ 7, 0}, // #783 A57Write_7cyc_1L_1I
{ 8, 0}, // #784 A57Write_8cyc_1L_1I
{ 8, 0}, // #785 A57Write_8cyc_1L_1I
{ 1, 0}, // #786 A57WrBackOne
{ 5, 0}, // #787 A57Write_5cyc_1L_1I
{ 5, 0}, // #788 A57Write_5cyc_1L_1I
{ 6, 0}, // #789 A57Write_6cyc_1L_1I
{ 6, 0}, // #790 A57Write_6cyc_1L_1I
{ 7, 0}, // #791 A57Write_7cyc_1L_1I
{ 7, 0}, // #792 A57Write_7cyc_1L_1I
{ 8, 0}, // #793 A57Write_8cyc_1L_1I
{ 8, 0}, // #794 A57Write_8cyc_1L_1I
{ 9, 0}, // #795 A57Write_9cyc_1L_1I
{ 9, 0}, // #796 A57Write_9cyc_1L_1I
{ 1, 0}, // #797 A57WrBackOne
{ 5, 0}, // #798 A57Write_5cyc_1L_1I
{ 5, 0}, // #799 A57Write_5cyc_1L_1I
{ 6, 0}, // #800 A57Write_6cyc_1L_1I
{ 6, 0}, // #801 A57Write_6cyc_1L_1I
{ 7, 0}, // #802 A57Write_7cyc_1L_1I
{ 7, 0}, // #803 A57Write_7cyc_1L_1I
{ 8, 0}, // #804 A57Write_8cyc_1L_1I
{ 8, 0}, // #805 A57Write_8cyc_1L_1I
{ 9, 0}, // #806 A57Write_9cyc_1L_1I
{ 9, 0}, // #807 A57Write_9cyc_1L_1I
{10, 0}, // #808 A57Write_10cyc_1L_1I
{10, 0}, // #809 A57Write_10cyc_1L_1I
{ 1, 0}, // #810 A57WrBackOne
{ 5, 0}, // #811 A57Write_5cyc_1L_1I
{ 5, 0}, // #812 A57Write_5cyc_1L_1I
{ 6, 0}, // #813 A57Write_6cyc_1L_1I
{ 6, 0}, // #814 A57Write_6cyc_1L_1I
{ 7, 0}, // #815 A57Write_7cyc_1L_1I
{ 7, 0}, // #816 A57Write_7cyc_1L_1I
{ 8, 0}, // #817 A57Write_8cyc_1L_1I
{ 8, 0}, // #818 A57Write_8cyc_1L_1I
{ 9, 0}, // #819 A57Write_9cyc_1L_1I
{ 9, 0}, // #820 A57Write_9cyc_1L_1I
{10, 0}, // #821 A57Write_10cyc_1L_1I
{10, 0}, // #822 A57Write_10cyc_1L_1I
{11, 0}, // #823 A57Write_11cyc_1L_1I
{11, 0}, // #824 A57Write_11cyc_1L_1I
{ 1, 0}, // #825 A57WrBackOne
{ 5, 0}, // #826 A57Write_5cyc_1L_1I
{ 5, 0}, // #827 A57Write_5cyc_1L_1I
{ 6, 0}, // #828 A57Write_6cyc_1L_1I
{ 6, 0}, // #829 A57Write_6cyc_1L_1I
{ 7, 0}, // #830 A57Write_7cyc_1L_1I
{ 7, 0}, // #831 A57Write_7cyc_1L_1I
{ 8, 0}, // #832 A57Write_8cyc_1L_1I
{ 8, 0}, // #833 A57Write_8cyc_1L_1I
{ 9, 0}, // #834 A57Write_9cyc_1L_1I
{ 9, 0}, // #835 A57Write_9cyc_1L_1I
{10, 0}, // #836 A57Write_10cyc_1L_1I
{10, 0}, // #837 A57Write_10cyc_1L_1I
{11, 0}, // #838 A57Write_11cyc_1L_1I
{11, 0}, // #839 A57Write_11cyc_1L_1I
{12, 0}, // #840 A57Write_12cyc_1L_1I
{12, 0}, // #841 A57Write_12cyc_1L_1I
{ 1, 0}, // #842 A57WrBackOne
{10, 0}, // #843 A57Write_10cyc_1S_1I
{ 1, 0}, // #844 A57WrBackOne
{12, 0}, // #845 A57Write_12cyc_1S_1I
{ 1, 0}, // #846 A57WrBackOne
{14, 0}, // #847 A57Write_14cyc_1S_1I
{ 1, 0}, // #848 A57WrBackOne
{16, 0}, // #849 A57Write_16cyc_1S_1I
{ 5, 0}, // #850 A57Write_5cyc_1I_1L
{ 2, 0}, // #851 A57WrBackTwo
{ 5, 0}, // #852 A57Write_5cyc_1I_1L
{ 5, 0}, // #853 A57Write_5cyc_1I_1L
{ 2, 0}, // #854 A57WrBackTwo
{ 4, 0}, // #855 R52WriteILDM4Cy
{ 5, 0}, // #856 R52WriteILDM5Cy
{ 6, 0}, // #857 R52WriteILDM6Cy
{ 7, 0}, // #858 R52WriteILDM7Cy
{ 8, 0}, // #859 R52WriteILDM8Cy
{ 9, 0}, // #860 R52WriteILDM9Cy
{ 4, 0}, // #861 R52WriteILDM4Cy
{ 5, 0}, // #862 R52WriteILDM5Cy
{ 6, 0}, // #863 R52WriteILDM6Cy
{ 7, 0}, // #864 R52WriteILDM7Cy
{ 8, 0}, // #865 R52WriteILDM8Cy
{ 9, 0}, // #866 R52WriteILDM9Cy
{10, 0}, // #867 R52WriteILDM10Cy
{ 4, 0}, // #868 R52WriteILDM4Cy
{ 5, 0}, // #869 R52WriteILDM5Cy
{ 6, 0}, // #870 R52WriteILDM6Cy
{ 7, 0}, // #871 R52WriteILDM7Cy
{ 8, 0}, // #872 R52WriteILDM8Cy
{ 9, 0}, // #873 R52WriteILDM9Cy
{10, 0}, // #874 R52WriteILDM10Cy
{11, 0}, // #875 R52WriteILDM11Cy
{ 4, 0}, // #876 R52WriteILDM4Cy
{ 5, 0}, // #877 R52WriteILDM5Cy
{ 6, 0}, // #878 R52WriteILDM6Cy
{ 7, 0}, // #879 R52WriteILDM7Cy
{ 8, 0}, // #880 R52WriteILDM8Cy
{ 9, 0}, // #881 R52WriteILDM9Cy
{10, 0}, // #882 R52WriteILDM10Cy
{11, 0}, // #883 R52WriteILDM11Cy
{12, 0}, // #884 R52WriteILDM12Cy
{ 4, 0}, // #885 R52WriteILDM4Cy
{ 5, 0}, // #886 R52WriteILDM5Cy
{ 6, 0}, // #887 R52WriteILDM6Cy
{ 7, 0}, // #888 R52WriteILDM7Cy
{ 8, 0}, // #889 R52WriteILDM8Cy
{ 9, 0}, // #890 R52WriteILDM9Cy
{10, 0}, // #891 R52WriteILDM10Cy
{11, 0}, // #892 R52WriteILDM11Cy
{12, 0}, // #893 R52WriteILDM12Cy
{13, 0}, // #894 R52WriteILDM13Cy
{ 4, 0}, // #895 R52WriteILDM4Cy
{ 5, 0}, // #896 R52WriteILDM5Cy
{ 6, 0}, // #897 R52WriteILDM6Cy
{ 7, 0}, // #898 R52WriteILDM7Cy
{ 8, 0}, // #899 R52WriteILDM8Cy
{ 9, 0}, // #900 R52WriteILDM9Cy
{10, 0}, // #901 R52WriteILDM10Cy
{11, 0}, // #902 R52WriteILDM11Cy
{12, 0}, // #903 R52WriteILDM12Cy
{13, 0}, // #904 R52WriteILDM13Cy
{14, 0}, // #905 R52WriteILDM14Cy
{ 4, 0}, // #906 R52WriteILDM4Cy
{ 5, 0}, // #907 R52WriteILDM5Cy
{ 6, 0}, // #908 R52WriteILDM6Cy
{ 7, 0}, // #909 R52WriteILDM7Cy
{ 8, 0}, // #910 R52WriteILDM8Cy
{ 9, 0}, // #911 R52WriteILDM9Cy
{10, 0}, // #912 R52WriteILDM10Cy
{11, 0}, // #913 R52WriteILDM11Cy
{12, 0}, // #914 R52WriteILDM12Cy
{13, 0}, // #915 R52WriteILDM13Cy
{14, 0}, // #916 R52WriteILDM14Cy
{15, 0}, // #917 R52WriteILDM15Cy
{ 4, 0}, // #918 R52WriteILDM4Cy
{ 5, 0}, // #919 R52WriteILDM5Cy
{ 6, 0}, // #920 R52WriteILDM6Cy
{ 7, 0}, // #921 R52WriteILDM7Cy
{ 8, 0}, // #922 R52WriteILDM8Cy
{ 9, 0}, // #923 R52WriteILDM9Cy
{10, 0}, // #924 R52WriteILDM10Cy
{11, 0}, // #925 R52WriteILDM11Cy
{12, 0}, // #926 R52WriteILDM12Cy
{13, 0}, // #927 R52WriteILDM13Cy
{14, 0}, // #928 R52WriteILDM14Cy
{15, 0}, // #929 R52WriteILDM15Cy
{16, 0}, // #930 R52WriteILDM16Cy
{ 4, 0}, // #931 R52WriteILDM4Cy
{ 5, 0}, // #932 R52WriteILDM5Cy
{ 6, 0}, // #933 R52WriteILDM6Cy
{ 7, 0}, // #934 R52WriteILDM7Cy
{ 8, 0}, // #935 R52WriteILDM8Cy
{ 9, 0}, // #936 R52WriteILDM9Cy
{10, 0}, // #937 R52WriteILDM10Cy
{11, 0}, // #938 R52WriteILDM11Cy
{12, 0}, // #939 R52WriteILDM12Cy
{13, 0}, // #940 R52WriteILDM13Cy
{14, 0}, // #941 R52WriteILDM14Cy
{15, 0}, // #942 R52WriteILDM15Cy
{16, 0}, // #943 R52WriteILDM16Cy
{17, 0}, // #944 R52WriteILDM17Cy
{ 4, 0}, // #945 R52WriteILDM4Cy
{ 5, 0}, // #946 R52WriteILDM5Cy
{ 6, 0}, // #947 R52WriteILDM6Cy
{ 7, 0}, // #948 R52WriteILDM7Cy
{ 8, 0}, // #949 R52WriteILDM8Cy
{ 9, 0}, // #950 R52WriteILDM9Cy
{10, 0}, // #951 R52WriteILDM10Cy
{11, 0}, // #952 R52WriteILDM11Cy
{12, 0}, // #953 R52WriteILDM12Cy
{13, 0}, // #954 R52WriteILDM13Cy
{14, 0}, // #955 R52WriteILDM14Cy
{15, 0}, // #956 R52WriteILDM15Cy
{16, 0}, // #957 R52WriteILDM16Cy
{17, 0}, // #958 R52WriteILDM17Cy
{18, 0}, // #959 R52WriteILDM18Cy
{ 4, 0}, // #960 R52WriteILDM4Cy
{ 5, 0}, // #961 R52WriteILDM5Cy
{ 6, 0}, // #962 R52WriteILDM6Cy_R52WriteILDM6CyNo
{ 7, 0}, // #963 R52WriteILDM7Cy_R52WriteILDM7CyNo
{ 8, 0}, // #964 R52WriteILDM8Cy_R52WriteILDM8CyNo
{ 9, 0}, // #965 R52WriteILDM9Cy_R52WriteILDM9CyNo
{10, 0}, // #966 R52WriteILDM10Cy_R52WriteILDM10CyNo
{11, 0}, // #967 R52WriteILDM11Cy_R52WriteILDM11CyNo
{12, 0}, // #968 R52WriteILDM12Cy_R52WriteILDM12CyNo
{13, 0}, // #969 R52WriteILDM13Cy_R52WriteILDM13CyNo
{14, 0}, // #970 R52WriteILDM14Cy_R52WriteILDM14CyNo
{15, 0}, // #971 R52WriteILDM15Cy_R52WriteILDM15CyNo
{16, 0}, // #972 R52WriteILDM16Cy_R52WriteILDM16CyNo
{17, 0}, // #973 R52WriteILDM17Cy_R52WriteILDM17CyNo
{18, 0}, // #974 R52WriteILDM18Cy
{19, 0}, // #975 R52WriteILDM19Cy
{ 4, 0}, // #976 R52WriteILDM4Cy
{ 5, 0}, // #977 R52WriteILDM5Cy
{ 0, 0}, // #978 R52WriteAdr
{ 4, 0}, // #979 R52WriteILDM4Cy
{ 5, 0}, // #980 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #981 R52WriteILDM6Cy_R52WriteLM6Cy
{ 0, 0}, // #982 R52WriteAdr_R52ReserveLd6Cy
{ 4, 0}, // #983 R52WriteILDM4Cy
{ 5, 0}, // #984 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #985 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #986 R52WriteILDM7Cy_R52WriteLM7Cy
{ 0, 0}, // #987 R52WriteAdr_R52ReserveLd4Cy_R52ReserveLd7Cy
{ 4, 0}, // #988 R52WriteILDM4Cy
{ 5, 0}, // #989 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #990 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #991 R52WriteILDM7Cy_R52WriteLM7Cy
{ 8, 0}, // #992 R52WriteILDM8Cy_R52WriteLM8Cy
{ 0, 0}, // #993 R52WriteAdr_R52ReserveLd8Cy
{ 4, 0}, // #994 R52WriteILDM4Cy
{ 5, 0}, // #995 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #996 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #997 R52WriteILDM7Cy_R52WriteLM7Cy
{ 8, 0}, // #998 R52WriteILDM8Cy_R52WriteLM8Cy
{ 9, 0}, // #999 R52WriteILDM9Cy_R52WriteLM9Cy_SwiftWriteLM9Cy
{ 0, 0}, // #1000 R52WriteAdr_R52ReserveLd9Cy_SwiftVLDMPerm3
{ 4, 0}, // #1001 R52WriteILDM4Cy_SwiftWrite3xP2FourCy
{ 5, 0}, // #1002 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #1003 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #1004 R52WriteILDM7Cy_R52WriteLM7Cy
{ 8, 0}, // #1005 R52WriteILDM8Cy_R52WriteLM8Cy
{ 9, 0}, // #1006 R52WriteILDM9Cy_R52WriteLM9Cy
{10, 0}, // #1007 R52WriteILDM10Cy_R52WriteLM10Cy
{ 0, 0}, // #1008 R52WriteAdr_R52ReserveLd10Cy
{ 4, 0}, // #1009 R52WriteILDM4Cy
{ 5, 0}, // #1010 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #1011 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #1012 R52WriteILDM7Cy_R52WriteLM7Cy
{ 8, 0}, // #1013 R52WriteILDM8Cy_R52WriteLM8Cy
{ 9, 0}, // #1014 R52WriteILDM9Cy_R52WriteLM9Cy
{10, 0}, // #1015 R52WriteILDM10Cy_R52WriteLM10Cy
{11, 0}, // #1016 R52WriteILDM11Cy_R52WriteLM11Cy
{ 0, 0}, // #1017 R52WriteAdr_R52ReserveLd11Cy
{ 4, 0}, // #1018 R52WriteILDM4Cy
{ 5, 0}, // #1019 R52WriteILDM5Cy_R52WriteLM5Cy
{ 6, 0}, // #1020 R52WriteILDM6Cy_R52WriteLM6Cy
{ 7, 0}, // #1021 R52WriteILDM7Cy_R52WriteLM7Cy
{ 8, 0}, // #1022 R52WriteILDM8Cy_R52WriteLM8Cy
{ 9, 0}, // #1023 R52WriteILDM9Cy_R52WriteLM9Cy
{10, 0}, // #1024 R52WriteILDM10Cy_R52WriteLM10Cy
{11, 0}, // #1025 R52WriteILDM11Cy_R52WriteLM11Cy
{12, 0}, // #1026 R52WriteILDM12Cy_R52WriteIStIncAddr_R52WriteLM12Cy
{ 0, 0}, // #1027 R52WriteAdr_R52ReserveLd12Cy_R52ReserveLd5Cy
{ 4, 0}, // #1028 R52WriteILDM4Cy
{ 5, 0}, // #1029 R52WriteILDM5Cy
{ 6, 0}, // #1030 R52WriteILDM6Cy
{ 7, 0}, // #1031 R52WriteILDM7Cy
{ 8, 0}, // #1032 R52WriteILDM8Cy
{ 9, 0}, // #1033 R52WriteILDM9Cy
{10, 0}, // #1034 R52WriteILDM10Cy
{11, 0}, // #1035 R52WriteILDM11Cy
{12, 0}, // #1036 R52WriteILDM12Cy
{13, 0}, // #1037 R52WriteILDM13Cy
{ 0, 0}, // #1038 R52WriteAdr
{ 4, 0}, // #1039 R52WriteILDM4Cy
{ 5, 0}, // #1040 R52WriteILDM5Cy
{ 6, 0}, // #1041 R52WriteILDM6Cy
{ 7, 0}, // #1042 R52WriteILDM7Cy
{ 8, 0}, // #1043 R52WriteILDM8Cy
{ 9, 0}, // #1044 R52WriteILDM9Cy
{10, 0}, // #1045 R52WriteILDM10Cy
{11, 0}, // #1046 R52WriteILDM11Cy
{12, 0}, // #1047 R52WriteILDM12Cy
{13, 0}, // #1048 R52WriteILDM13Cy
{14, 0}, // #1049 R52WriteILDM14Cy
{ 0, 0}, // #1050 R52WriteAdr
{ 4, 0}, // #1051 R52WriteILDM4Cy
{ 5, 0}, // #1052 R52WriteILDM5Cy
{ 6, 0}, // #1053 R52WriteILDM6Cy
{ 7, 0}, // #1054 R52WriteILDM7Cy
{ 8, 0}, // #1055 R52WriteILDM8Cy
{ 9, 0}, // #1056 R52WriteILDM9Cy
{10, 0}, // #1057 R52WriteILDM10Cy
{11, 0}, // #1058 R52WriteILDM11Cy
{12, 0}, // #1059 R52WriteILDM12Cy
{13, 0}, // #1060 R52WriteILDM13Cy
{14, 0}, // #1061 R52WriteILDM14Cy
{15, 0}, // #1062 R52WriteILDM15Cy
{ 0, 0}, // #1063 R52WriteAdr
{ 4, 0}, // #1064 R52WriteILDM4Cy
{ 5, 0}, // #1065 R52WriteILDM5Cy
{ 6, 0}, // #1066 R52WriteILDM6Cy
{ 7, 0}, // #1067 R52WriteILDM7Cy
{ 8, 0}, // #1068 R52WriteILDM8Cy
{ 9, 0}, // #1069 R52WriteILDM9Cy
{10, 0}, // #1070 R52WriteILDM10Cy
{11, 0}, // #1071 R52WriteILDM11Cy
{12, 0}, // #1072 R52WriteILDM12Cy
{13, 0}, // #1073 R52WriteILDM13Cy
{14, 0}, // #1074 R52WriteILDM14Cy
{15, 0}, // #1075 R52WriteILDM15Cy
{16, 0}, // #1076 R52WriteILDM16Cy_R52WriteIStIncAddr
{ 0, 0}, // #1077 R52WriteAdr
{ 4, 0}, // #1078 R52WriteILDM4Cy
{ 5, 0}, // #1079 R52WriteILDM5Cy
{ 6, 0}, // #1080 R52WriteILDM6Cy
{ 7, 0}, // #1081 R52WriteILDM7Cy
{ 8, 0}, // #1082 R52WriteILDM8Cy
{ 9, 0}, // #1083 R52WriteILDM9Cy
{10, 0}, // #1084 R52WriteILDM10Cy
{11, 0}, // #1085 R52WriteILDM11Cy
{12, 0}, // #1086 R52WriteILDM12Cy
{13, 0}, // #1087 R52WriteILDM13Cy
{14, 0}, // #1088 R52WriteILDM14Cy
{15, 0}, // #1089 R52WriteILDM15Cy
{16, 0}, // #1090 R52WriteILDM16Cy
{17, 0}, // #1091 R52WriteILDM17Cy
{ 0, 0}, // #1092 R52WriteAdr
{ 4, 0}, // #1093 R52WriteILDM4Cy
{ 5, 0}, // #1094 R52WriteILDM5Cy
{ 6, 0}, // #1095 R52WriteILDM6Cy
{ 7, 0}, // #1096 R52WriteILDM7Cy
{ 8, 0}, // #1097 R52WriteILDM8Cy
{ 9, 0}, // #1098 R52WriteILDM9Cy
{10, 0}, // #1099 R52WriteILDM10Cy
{11, 0}, // #1100 R52WriteILDM11Cy
{12, 0}, // #1101 R52WriteILDM12Cy
{13, 0}, // #1102 R52WriteILDM13Cy
{14, 0}, // #1103 R52WriteILDM14Cy
{15, 0}, // #1104 R52WriteILDM15Cy
{16, 0}, // #1105 R52WriteILDM16Cy
{17, 0}, // #1106 R52WriteILDM17Cy
{18, 0}, // #1107 R52WriteILDM18Cy
{ 0, 0}, // #1108 R52WriteAdr
{ 4, 0}, // #1109 R52WriteILDM4Cy
{ 5, 0}, // #1110 R52WriteILDM5Cy
{ 6, 0}, // #1111 R52WriteILDM6Cy_R52WriteILDM6CyNo
{ 7, 0}, // #1112 R52WriteILDM7Cy_R52WriteILDM7CyNo
{ 8, 0}, // #1113 R52WriteILDM8Cy_R52WriteILDM8CyNo
{ 9, 0}, // #1114 R52WriteILDM9Cy_R52WriteILDM9CyNo
{10, 0}, // #1115 R52WriteILDM10Cy_R52WriteILDM10CyNo
{11, 0}, // #1116 R52WriteILDM11Cy_R52WriteILDM11CyNo
{12, 0}, // #1117 R52WriteILDM12Cy_R52WriteILDM12CyNo
{13, 0}, // #1118 R52WriteILDM13Cy_R52WriteILDM13CyNo
{14, 0}, // #1119 R52WriteILDM14Cy_R52WriteILDM14CyNo
{15, 0}, // #1120 R52WriteILDM15Cy_R52WriteILDM15CyNo
{16, 0}, // #1121 R52WriteILDM16Cy_R52WriteILDM16CyNo
{17, 0}, // #1122 R52WriteILDM17Cy_R52WriteILDM17CyNo
{18, 0}, // #1123 R52WriteILDM18Cy
{19, 0}, // #1124 R52WriteILDM19Cy
{ 0, 0}, // #1125 R52WriteAdr
{24, 0}, // #1126 R52WriteIStIncAddr
{28, 0}, // #1127 R52WriteIStIncAddr
{36, 0}, // #1128 R52WriteIStIncAddr
{40, 0}, // #1129 R52WriteIStIncAddr
{44, 0}, // #1130 R52WriteIStIncAddr
{48, 0}, // #1131 R52WriteIStIncAddr
{52, 0}, // #1132 R52WriteIStIncAddr
{56, 0}, // #1133 R52WriteIStIncAddr
{60, 0}, // #1134 R52WriteIStIncAddr
{64, 0}, // #1135 R52WriteIStIncAddr
{20, 0}, // #1136 R52WriteIStIncAddr
{ 0, 0}, // #1137 R52WriteAdr
{24, 0}, // #1138 R52WriteIStIncAddr
{ 0, 0}, // #1139 R52WriteAdr
{28, 0}, // #1140 R52WriteIStIncAddr
{ 0, 0}, // #1141 R52WriteAdr
{32, 0}, // #1142 R52WriteIStIncAddr
{ 0, 0}, // #1143 R52WriteAdr
{36, 0}, // #1144 R52WriteIStIncAddr
{ 0, 0}, // #1145 R52WriteAdr
{40, 0}, // #1146 R52WriteIStIncAddr
{ 0, 0}, // #1147 R52WriteAdr
{44, 0}, // #1148 R52WriteIStIncAddr
{ 0, 0}, // #1149 R52WriteAdr
{48, 0}, // #1150 R52WriteIStIncAddr
{ 0, 0}, // #1151 R52WriteAdr
{52, 0}, // #1152 R52WriteIStIncAddr
{ 0, 0}, // #1153 R52WriteAdr
{56, 0}, // #1154 R52WriteIStIncAddr
{ 0, 0}, // #1155 R52WriteAdr
{60, 0}, // #1156 R52WriteIStIncAddr
{ 0, 0}, // #1157 R52WriteAdr
{64, 0}, // #1158 R52WriteIStIncAddr
{ 0, 0}, // #1159 R52WriteAdr
{ 5, 0}, // #1160 SwiftWrite5Cycle
{ 7, 0}, // #1161 Swift2P03P01FiveCycle
{ 3, 0}, // #1162 SwiftWriteP2P2P01ThreeCycle
{ 4, 0}, // #1163 SwiftWriteLdFour
{ 1, 0}, // #1164 SwiftWrBackOne_SwiftWriteP01OneCycle
{ 0, 0}, // #1165 SwiftWriteP2
{ 0, 0}, // #1166 SwiftWriteP2
{ 1, 0}, // #1167 SwiftWriteP01OneCycle
{15, 0}, // #1168 SwiftWaitP0For15Cy
{15, 0}, // #1169 SwiftWaitP1For15Cy
{15, 0}, // #1170 SwiftWaitP2For15Cy
{ 9, 0}, // #1171 SwiftWriteLM9Cy
{ 0, 0}, // #1172 SwiftExt2xP0
{ 0, 0}, // #1173 SwiftVLDMPerm2
{ 9, 0}, // #1174 SwiftWriteLM9Cy
{ 1, 0}, // #1175 SwiftWriteP01OneCycle
{ 0, 0}, // #1176 SwiftExt2xP0
{ 0, 0}, // #1177 SwiftVLDMPerm2
{ 9, 0}, // #1178 SwiftWriteLM9Cy
{ 9, 0}, // #1179 SwiftWriteLM9CyNo
{ 9, 0}, // #1180 SwiftWriteLM9CyNo
{ 0, 0}, // #1181 SwiftVLDMPerm3
{ 4, 0}, // #1182 SwiftWrite3xP2FourCy
{ 9, 0}, // #1183 SwiftWriteLM9Cy
{ 9, 0}, // #1184 SwiftWriteLM9CyNo
{ 9, 0}, // #1185 SwiftWriteLM9CyNo
{ 1, 0}, // #1186 SwiftWriteP01OneCycle
{ 0, 0}, // #1187 SwiftVLDMPerm3
{ 4, 0}, // #1188 SwiftWrite3xP2FourCy
{11, 0}, // #1189 SwiftWriteLM11Cy
{11, 0}, // #1190 SwiftWriteLM11Cy
{11, 0}, // #1191 SwiftWriteLM11Cy
{11, 0}, // #1192 SwiftWriteLM11Cy
{ 0, 0}, // #1193 SwiftExt2xP0
{ 0, 0}, // #1194 SwiftVLDMPerm4
{ 4, 0}, // #1195 SwiftWrite3xP2FourCy
{11, 0}, // #1196 SwiftWriteLM11Cy
{11, 0}, // #1197 SwiftWriteLM11Cy
{11, 0}, // #1198 SwiftWriteLM11Cy
{11, 0}, // #1199 SwiftWriteLM11Cy
{ 1, 0}, // #1200 SwiftWriteP01OneCycle
{ 0, 0}, // #1201 SwiftExt2xP0
{ 0, 0}, // #1202 SwiftVLDMPerm4
{ 4, 0}, // #1203 SwiftWrite3xP2FourCy
{ 6, 0}, // #1204 SwiftWriteLM6Cy
{ 1, 0}, // #1205 SwiftWriteP01OneCycle
{ 0, 0}, // #1206 SwiftVLDMPerm2
{ 6, 0}, // #1207 SwiftWriteLM6Cy
{ 6, 0}, // #1208 SwiftWriteLM6Cy
{ 0, 0}, // #1209 SwiftExt1xP0
{ 0, 0}, // #1210 SwiftVLDMPerm2
{ 6, 0}, // #1211 SwiftWriteLM6Cy
{ 6, 0}, // #1212 SwiftWriteLM6Cy
{ 1, 0}, // #1213 SwiftWriteP01OneCycle
{ 0, 0}, // #1214 SwiftExt1xP0
{ 0, 0}, // #1215 SwiftVLDMPerm2
{ 6, 0}, // #1216 SwiftWriteLM6Cy
{ 1, 0}, // #1217 SwiftWriteP01OneCycle
{ 6, 0}, // #1218 SwiftWriteLM6Cy
{ 0, 0}, // #1219 SwiftExt1xP0
{ 0, 0}, // #1220 SwiftVLDMPerm2
{ 7, 0}, // #1221 SwiftWriteLM7Cy
{ 8, 0}, // #1222 SwiftWriteLM8Cy
{ 8, 0}, // #1223 SwiftWriteLM8Cy
{ 0, 0}, // #1224 SwiftExt1xP0
{ 0, 0}, // #1225 SwiftVLDMPerm3
{ 7, 0}, // #1226 SwiftWriteLM7Cy
{ 8, 0}, // #1227 SwiftWriteLM8Cy
{ 8, 0}, // #1228 SwiftWriteLM8Cy
{ 1, 0}, // #1229 SwiftWriteP01OneCycle
{ 0, 0}, // #1230 SwiftExt1xP0
{ 0, 0}, // #1231 SwiftVLDMPerm3
{ 7, 0}, // #1232 SwiftWriteLM7Cy
{ 1, 0}, // #1233 SwiftWriteP01OneCycle
{ 8, 0}, // #1234 SwiftWriteLM8Cy
{ 8, 0}, // #1235 SwiftWriteLM8Cy
{ 0, 0}, // #1236 SwiftExt1xP0
{ 0, 0}, // #1237 SwiftVLDMPerm3
{ 8, 0}, // #1238 SwiftWriteLM8Cy
{ 9, 0}, // #1239 SwiftWriteLM9Cy
{10, 0}, // #1240 SwiftWriteLM10CyNo
{10, 0}, // #1241 SwiftWriteLM10CyNo
{ 0, 0}, // #1242 SwiftExt1xP0
{ 0, 0}, // #1243 SwiftVLDMPerm5
{ 8, 0}, // #1244 SwiftWriteLM8Cy
{ 9, 0}, // #1245 SwiftWriteLM9Cy
{10, 0}, // #1246 SwiftWriteLM10CyNo
{10, 0}, // #1247 SwiftWriteLM10CyNo
{ 1, 0}, // #1248 SwiftWriteP01OneCycle
{ 0, 0}, // #1249 SwiftExt1xP0
{ 0, 0}, // #1250 SwiftVLDMPerm5
{ 8, 0}, // #1251 SwiftWriteLM8Cy
{ 1, 0}, // #1252 SwiftWriteP01OneCycle
{ 9, 0}, // #1253 SwiftWriteLM9Cy
{10, 0}, // #1254 SwiftWriteLM10CyNo
{10, 0}, // #1255 SwiftWriteLM10CyNo
{ 0, 0}, // #1256 SwiftExt1xP0
{ 0, 0}, // #1257 SwiftVLDMPerm5
{ 3, 0}, // #1258 SwiftWriteLM3Cy
{ 4, 0}, // #1259 SwiftWriteLM4Cy
{ 0, 0}, // #1260 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1261 SwiftWriteLM3Cy
{ 4, 0}, // #1262 SwiftWriteLM4Cy
{ 5, 0}, // #1263 SwiftWriteLM5Cy
{ 0, 0}, // #1264 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1265 SwiftWriteLM3Cy
{ 4, 0}, // #1266 SwiftWriteLM4Cy
{ 5, 0}, // #1267 SwiftWriteLM5Cy
{ 6, 0}, // #1268 SwiftWriteLM6Cy
{ 0, 0}, // #1269 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1270 SwiftWriteLM3Cy
{ 4, 0}, // #1271 SwiftWriteLM4Cy
{ 5, 0}, // #1272 SwiftWriteLM5Cy
{ 6, 0}, // #1273 SwiftWriteLM6Cy
{ 7, 0}, // #1274 SwiftWriteLM7Cy
{ 0, 0}, // #1275 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1276 SwiftWriteLM3Cy
{ 4, 0}, // #1277 SwiftWriteLM4Cy
{ 5, 0}, // #1278 SwiftWriteLM5Cy
{ 6, 0}, // #1279 SwiftWriteLM6Cy
{ 7, 0}, // #1280 SwiftWriteLM7Cy
{ 8, 0}, // #1281 SwiftWriteLM8Cy
{ 0, 0}, // #1282 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1283 SwiftWriteLM3Cy
{ 4, 0}, // #1284 SwiftWriteLM4Cy
{ 5, 0}, // #1285 SwiftWriteLM5Cy
{ 6, 0}, // #1286 SwiftWriteLM6Cy
{ 7, 0}, // #1287 SwiftWriteLM7Cy
{ 8, 0}, // #1288 SwiftWriteLM8Cy
{ 9, 0}, // #1289 SwiftWriteLM9Cy
{ 0, 0}, // #1290 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1291 SwiftWriteLM3Cy
{ 4, 0}, // #1292 SwiftWriteLM4Cy
{ 5, 0}, // #1293 SwiftWriteLM5Cy
{ 6, 0}, // #1294 SwiftWriteLM6Cy
{ 7, 0}, // #1295 SwiftWriteLM7Cy
{ 8, 0}, // #1296 SwiftWriteLM8Cy
{ 9, 0}, // #1297 SwiftWriteLM9Cy
{10, 0}, // #1298 SwiftWriteLM10Cy
{ 0, 0}, // #1299 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1300 SwiftWriteLM3Cy
{ 4, 0}, // #1301 SwiftWriteLM4Cy
{ 5, 0}, // #1302 SwiftWriteLM5Cy
{ 6, 0}, // #1303 SwiftWriteLM6Cy
{ 7, 0}, // #1304 SwiftWriteLM7Cy
{ 8, 0}, // #1305 SwiftWriteLM8Cy
{ 9, 0}, // #1306 SwiftWriteLM9Cy
{10, 0}, // #1307 SwiftWriteLM10Cy
{11, 0}, // #1308 SwiftWriteLM11Cy
{ 0, 0}, // #1309 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1310 SwiftWriteLM3Cy
{ 4, 0}, // #1311 SwiftWriteLM4Cy
{ 5, 0}, // #1312 SwiftWriteLM5Cy
{ 6, 0}, // #1313 SwiftWriteLM6Cy
{ 7, 0}, // #1314 SwiftWriteLM7Cy
{ 8, 0}, // #1315 SwiftWriteLM8Cy
{ 9, 0}, // #1316 SwiftWriteLM9Cy
{10, 0}, // #1317 SwiftWriteLM10Cy
{11, 0}, // #1318 SwiftWriteLM11Cy
{12, 0}, // #1319 SwiftWriteLM12Cy
{ 0, 0}, // #1320 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1321 SwiftWriteLM3Cy
{ 4, 0}, // #1322 SwiftWriteLM4Cy
{ 5, 0}, // #1323 SwiftWriteLM5Cy
{ 6, 0}, // #1324 SwiftWriteLM6Cy
{ 7, 0}, // #1325 SwiftWriteLM7Cy
{ 8, 0}, // #1326 SwiftWriteLM8Cy
{ 9, 0}, // #1327 SwiftWriteLM9Cy
{10, 0}, // #1328 SwiftWriteLM10Cy
{11, 0}, // #1329 SwiftWriteLM11Cy
{12, 0}, // #1330 SwiftWriteLM12Cy
{13, 0}, // #1331 SwiftWriteLM13Cy
{ 0, 0}, // #1332 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1333 SwiftWriteLM3Cy
{ 4, 0}, // #1334 SwiftWriteLM4Cy
{ 5, 0}, // #1335 SwiftWriteLM5Cy
{ 6, 0}, // #1336 SwiftWriteLM6Cy
{ 7, 0}, // #1337 SwiftWriteLM7Cy
{ 8, 0}, // #1338 SwiftWriteLM8Cy
{ 9, 0}, // #1339 SwiftWriteLM9Cy
{10, 0}, // #1340 SwiftWriteLM10Cy
{11, 0}, // #1341 SwiftWriteLM11Cy
{12, 0}, // #1342 SwiftWriteLM12Cy
{13, 0}, // #1343 SwiftWriteLM13Cy
{14, 0}, // #1344 SwiftWriteLM14Cy
{ 0, 0}, // #1345 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1346 SwiftWriteLM3Cy
{ 4, 0}, // #1347 SwiftWriteLM4Cy
{ 5, 0}, // #1348 SwiftWriteLM5Cy
{ 6, 0}, // #1349 SwiftWriteLM6Cy
{ 7, 0}, // #1350 SwiftWriteLM7Cy
{ 8, 0}, // #1351 SwiftWriteLM8Cy
{ 9, 0}, // #1352 SwiftWriteLM9Cy
{10, 0}, // #1353 SwiftWriteLM10Cy
{11, 0}, // #1354 SwiftWriteLM11Cy
{12, 0}, // #1355 SwiftWriteLM12Cy
{13, 0}, // #1356 SwiftWriteLM13Cy
{14, 0}, // #1357 SwiftWriteLM14Cy
{15, 0}, // #1358 SwiftWriteLM15Cy
{ 0, 0}, // #1359 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1360 SwiftWriteLM3Cy
{ 4, 0}, // #1361 SwiftWriteLM4Cy
{ 5, 0}, // #1362 SwiftWriteLM5Cy
{ 6, 0}, // #1363 SwiftWriteLM6Cy
{ 7, 0}, // #1364 SwiftWriteLM7Cy
{ 8, 0}, // #1365 SwiftWriteLM8Cy
{ 9, 0}, // #1366 SwiftWriteLM9Cy
{10, 0}, // #1367 SwiftWriteLM10Cy
{11, 0}, // #1368 SwiftWriteLM11Cy
{12, 0}, // #1369 SwiftWriteLM12Cy
{13, 0}, // #1370 SwiftWriteLM13Cy
{14, 0}, // #1371 SwiftWriteLM14Cy
{15, 0}, // #1372 SwiftWriteLM15Cy
{16, 0}, // #1373 SwiftWriteLM16Cy
{ 0, 0}, // #1374 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1375 SwiftWriteLM3Cy
{ 4, 0}, // #1376 SwiftWriteLM4Cy
{ 5, 0}, // #1377 SwiftWriteLM5Cy
{ 6, 0}, // #1378 SwiftWriteLM6Cy
{ 7, 0}, // #1379 SwiftWriteLM7Cy
{ 8, 0}, // #1380 SwiftWriteLM8Cy
{ 9, 0}, // #1381 SwiftWriteLM9Cy
{10, 0}, // #1382 SwiftWriteLM10Cy
{11, 0}, // #1383 SwiftWriteLM11Cy
{12, 0}, // #1384 SwiftWriteLM12Cy
{13, 0}, // #1385 SwiftWriteLM13Cy
{14, 0}, // #1386 SwiftWriteLM14Cy
{15, 0}, // #1387 SwiftWriteLM15Cy
{16, 0}, // #1388 SwiftWriteLM16Cy
{17, 0}, // #1389 SwiftWriteLM17Cy
{ 0, 0}, // #1390 SwiftWriteLDMAddrNoWB
{ 3, 0}, // #1391 SwiftWriteLM3Cy
{ 4, 0}, // #1392 SwiftWriteLM4Cy
{ 5, 0}, // #1393 SwiftWriteLM5Cy_SwiftWriteLM5CyNo
{ 6, 0}, // #1394 SwiftWriteLM6Cy_SwiftWriteLM6CyNo
{ 7, 0}, // #1395 SwiftWriteLM7Cy_SwiftWriteLM7CyNo
{ 8, 0}, // #1396 SwiftWriteLM8Cy_SwiftWriteLM8CyNo
{ 9, 0}, // #1397 SwiftWriteLM9Cy_SwiftWriteLM9CyNo
{10, 0}, // #1398 SwiftWriteLM10Cy_SwiftWriteLM10CyNo
{11, 0}, // #1399 SwiftWriteLM11Cy_SwiftWriteLM11CyNo
{12, 0}, // #1400 SwiftWriteLM12Cy_SwiftWriteLM12CyNo
{13, 0}, // #1401 SwiftWriteLM13Cy_SwiftWriteLM13CyNo
{14, 0}, // #1402 SwiftWriteLM14Cy_SwiftWriteLM14CyNo
{15, 0}, // #1403 SwiftWriteLM15Cy_SwiftWriteLM15CyNo
{16, 0}, // #1404 SwiftWriteLM16Cy_SwiftWriteLM16CyNo
{17, 0}, // #1405 SwiftWriteLM17Cy_SwiftWriteLM17CyNo
{18, 0}, // #1406 SwiftWriteLM18Cy_SwiftWriteLM18CyNo
{ 0, 0}, // #1407 SwiftWriteLDMAddrNoWB
{ 1, 0}, // #1408 SwiftWriteLDMAddrWB
{ 3, 0}, // #1409 SwiftWriteLM3Cy
{ 4, 0}, // #1410 SwiftWriteLM4Cy
{ 1, 0}, // #1411 SwiftWriteLDMAddrWB
{ 3, 0}, // #1412 SwiftWriteLM3Cy
{ 4, 0}, // #1413 SwiftWriteLM4Cy
{ 5, 0}, // #1414 SwiftWriteLM5Cy
{ 1, 0}, // #1415 SwiftWriteLDMAddrWB
{ 3, 0}, // #1416 SwiftWriteLM3Cy
{ 4, 0}, // #1417 SwiftWriteLM4Cy
{ 5, 0}, // #1418 SwiftWriteLM5Cy
{ 6, 0}, // #1419 SwiftWriteLM6Cy
{ 1, 0}, // #1420 SwiftWriteLDMAddrWB
{ 3, 0}, // #1421 SwiftWriteLM3Cy
{ 4, 0}, // #1422 SwiftWriteLM4Cy
{ 5, 0}, // #1423 SwiftWriteLM5Cy
{ 6, 0}, // #1424 SwiftWriteLM6Cy
{ 7, 0}, // #1425 SwiftWriteLM7Cy
{ 1, 0}, // #1426 SwiftWriteLDMAddrWB
{ 3, 0}, // #1427 SwiftWriteLM3Cy
{ 4, 0}, // #1428 SwiftWriteLM4Cy
{ 5, 0}, // #1429 SwiftWriteLM5Cy
{ 6, 0}, // #1430 SwiftWriteLM6Cy
{ 7, 0}, // #1431 SwiftWriteLM7Cy
{ 8, 0}, // #1432 SwiftWriteLM8Cy
{ 1, 0}, // #1433 SwiftWriteLDMAddrWB
{ 3, 0}, // #1434 SwiftWriteLM3Cy
{ 4, 0}, // #1435 SwiftWriteLM4Cy
{ 5, 0}, // #1436 SwiftWriteLM5Cy
{ 6, 0}, // #1437 SwiftWriteLM6Cy
{ 7, 0}, // #1438 SwiftWriteLM7Cy
{ 8, 0}, // #1439 SwiftWriteLM8Cy
{ 9, 0}, // #1440 SwiftWriteLM9Cy
{ 1, 0}, // #1441 SwiftWriteLDMAddrWB
{ 3, 0}, // #1442 SwiftWriteLM3Cy
{ 4, 0}, // #1443 SwiftWriteLM4Cy
{ 5, 0}, // #1444 SwiftWriteLM5Cy
{ 6, 0}, // #1445 SwiftWriteLM6Cy
{ 7, 0}, // #1446 SwiftWriteLM7Cy
{ 8, 0}, // #1447 SwiftWriteLM8Cy
{ 9, 0}, // #1448 SwiftWriteLM9Cy
{10, 0}, // #1449 SwiftWriteLM10Cy
{ 1, 0}, // #1450 SwiftWriteLDMAddrWB
{ 3, 0}, // #1451 SwiftWriteLM3Cy
{ 4, 0}, // #1452 SwiftWriteLM4Cy
{ 5, 0}, // #1453 SwiftWriteLM5Cy
{ 6, 0}, // #1454 SwiftWriteLM6Cy
{ 7, 0}, // #1455 SwiftWriteLM7Cy
{ 8, 0}, // #1456 SwiftWriteLM8Cy
{ 9, 0}, // #1457 SwiftWriteLM9Cy
{10, 0}, // #1458 SwiftWriteLM10Cy
{11, 0}, // #1459 SwiftWriteLM11Cy
{ 1, 0}, // #1460 SwiftWriteLDMAddrWB
{ 3, 0}, // #1461 SwiftWriteLM3Cy
{ 4, 0}, // #1462 SwiftWriteLM4Cy
{ 5, 0}, // #1463 SwiftWriteLM5Cy
{ 6, 0}, // #1464 SwiftWriteLM6Cy
{ 7, 0}, // #1465 SwiftWriteLM7Cy
{ 8, 0}, // #1466 SwiftWriteLM8Cy
{ 9, 0}, // #1467 SwiftWriteLM9Cy
{10, 0}, // #1468 SwiftWriteLM10Cy
{11, 0}, // #1469 SwiftWriteLM11Cy
{12, 0}, // #1470 SwiftWriteLM12Cy
{ 1, 0}, // #1471 SwiftWriteLDMAddrWB
{ 3, 0}, // #1472 SwiftWriteLM3Cy
{ 4, 0}, // #1473 SwiftWriteLM4Cy
{ 5, 0}, // #1474 SwiftWriteLM5Cy
{ 6, 0}, // #1475 SwiftWriteLM6Cy
{ 7, 0}, // #1476 SwiftWriteLM7Cy
{ 8, 0}, // #1477 SwiftWriteLM8Cy
{ 9, 0}, // #1478 SwiftWriteLM9Cy
{10, 0}, // #1479 SwiftWriteLM10Cy
{11, 0}, // #1480 SwiftWriteLM11Cy
{12, 0}, // #1481 SwiftWriteLM12Cy
{13, 0}, // #1482 SwiftWriteLM13Cy
{ 1, 0}, // #1483 SwiftWriteLDMAddrWB
{ 3, 0}, // #1484 SwiftWriteLM3Cy
{ 4, 0}, // #1485 SwiftWriteLM4Cy
{ 5, 0}, // #1486 SwiftWriteLM5Cy
{ 6, 0}, // #1487 SwiftWriteLM6Cy
{ 7, 0}, // #1488 SwiftWriteLM7Cy
{ 8, 0}, // #1489 SwiftWriteLM8Cy
{ 9, 0}, // #1490 SwiftWriteLM9Cy
{10, 0}, // #1491 SwiftWriteLM10Cy
{11, 0}, // #1492 SwiftWriteLM11Cy
{12, 0}, // #1493 SwiftWriteLM12Cy
{13, 0}, // #1494 SwiftWriteLM13Cy
{14, 0}, // #1495 SwiftWriteLM14Cy
{ 1, 0}, // #1496 SwiftWriteLDMAddrWB
{ 3, 0}, // #1497 SwiftWriteLM3Cy
{ 4, 0}, // #1498 SwiftWriteLM4Cy
{ 5, 0}, // #1499 SwiftWriteLM5Cy
{ 6, 0}, // #1500 SwiftWriteLM6Cy
{ 7, 0}, // #1501 SwiftWriteLM7Cy
{ 8, 0}, // #1502 SwiftWriteLM8Cy
{ 9, 0}, // #1503 SwiftWriteLM9Cy
{10, 0}, // #1504 SwiftWriteLM10Cy
{11, 0}, // #1505 SwiftWriteLM11Cy
{12, 0}, // #1506 SwiftWriteLM12Cy
{13, 0}, // #1507 SwiftWriteLM13Cy
{14, 0}, // #1508 SwiftWriteLM14Cy
{15, 0}, // #1509 SwiftWriteLM15Cy
{ 1, 0}, // #1510 SwiftWriteLDMAddrWB
{ 3, 0}, // #1511 SwiftWriteLM3Cy
{ 4, 0}, // #1512 SwiftWriteLM4Cy
{ 5, 0}, // #1513 SwiftWriteLM5Cy
{ 6, 0}, // #1514 SwiftWriteLM6Cy
{ 7, 0}, // #1515 SwiftWriteLM7Cy
{ 8, 0}, // #1516 SwiftWriteLM8Cy
{ 9, 0}, // #1517 SwiftWriteLM9Cy
{10, 0}, // #1518 SwiftWriteLM10Cy
{11, 0}, // #1519 SwiftWriteLM11Cy
{12, 0}, // #1520 SwiftWriteLM12Cy
{13, 0}, // #1521 SwiftWriteLM13Cy
{14, 0}, // #1522 SwiftWriteLM14Cy
{15, 0}, // #1523 SwiftWriteLM15Cy
{16, 0}, // #1524 SwiftWriteLM16Cy
{ 1, 0}, // #1525 SwiftWriteLDMAddrWB
{ 3, 0}, // #1526 SwiftWriteLM3Cy
{ 4, 0}, // #1527 SwiftWriteLM4Cy
{ 5, 0}, // #1528 SwiftWriteLM5Cy
{ 6, 0}, // #1529 SwiftWriteLM6Cy
{ 7, 0}, // #1530 SwiftWriteLM7Cy
{ 8, 0}, // #1531 SwiftWriteLM8Cy
{ 9, 0}, // #1532 SwiftWriteLM9Cy
{10, 0}, // #1533 SwiftWriteLM10Cy
{11, 0}, // #1534 SwiftWriteLM11Cy
{12, 0}, // #1535 SwiftWriteLM12Cy
{13, 0}, // #1536 SwiftWriteLM13Cy
{14, 0}, // #1537 SwiftWriteLM14Cy
{15, 0}, // #1538 SwiftWriteLM15Cy
{16, 0}, // #1539 SwiftWriteLM16Cy
{17, 0}, // #1540 SwiftWriteLM17Cy
{ 1, 0}, // #1541 SwiftWriteLDMAddrWB
{ 3, 0}, // #1542 SwiftWriteLM3Cy
{ 4, 0}, // #1543 SwiftWriteLM4Cy
{ 5, 0}, // #1544 SwiftWriteLM5Cy_SwiftWriteLM5CyNo
{ 6, 0}, // #1545 SwiftWriteLM6Cy_SwiftWriteLM6CyNo
{ 7, 0}, // #1546 SwiftWriteLM7Cy_SwiftWriteLM7CyNo
{ 8, 0}, // #1547 SwiftWriteLM8Cy_SwiftWriteLM8CyNo
{ 9, 0}, // #1548 SwiftWriteLM9Cy_SwiftWriteLM9CyNo
{10, 0}, // #1549 SwiftWriteLM10Cy_SwiftWriteLM10CyNo
{11, 0}, // #1550 SwiftWriteLM11Cy_SwiftWriteLM11CyNo
{12, 0}, // #1551 SwiftWriteLM12Cy_SwiftWriteLM12CyNo
{13, 0}, // #1552 SwiftWriteLM13Cy_SwiftWriteLM13CyNo
{14, 0}, // #1553 SwiftWriteLM14Cy_SwiftWriteLM14CyNo
{15, 0}, // #1554 SwiftWriteLM15Cy_SwiftWriteLM15CyNo
{16, 0}, // #1555 SwiftWriteLM16Cy_SwiftWriteLM16CyNo
{17, 0}, // #1556 SwiftWriteLM17Cy_SwiftWriteLM17CyNo
{18, 0}, // #1557 SwiftWriteLM18Cy_SwiftWriteLM18CyNo
{ 1, 0}, // #1558 SwiftWriteLDMAddrWB
{ 3, 0}, // #1559 SwiftWriteLM3Cy
{ 4, 0}, // #1560 SwiftWriteLM4Cy
{ 2, 0}, // #1561 SwiftWriteP1TwoCycle
{ 1, 0}, // #1562 SwiftWriteLDMAddrWB
{ 3, 0}, // #1563 SwiftWriteLM3Cy
{ 4, 0}, // #1564 SwiftWriteLM4Cy
{ 5, 0}, // #1565 SwiftWriteLM5Cy
{ 2, 0}, // #1566 SwiftWriteP1TwoCycle
{ 1, 0}, // #1567 SwiftWriteLDMAddrWB
{ 3, 0}, // #1568 SwiftWriteLM3Cy
{ 4, 0}, // #1569 SwiftWriteLM4Cy
{ 5, 0}, // #1570 SwiftWriteLM5Cy
{ 6, 0}, // #1571 SwiftWriteLM6Cy
{ 2, 0}, // #1572 SwiftWriteP1TwoCycle
{ 1, 0}, // #1573 SwiftWriteLDMAddrWB
{ 3, 0}, // #1574 SwiftWriteLM3Cy
{ 4, 0}, // #1575 SwiftWriteLM4Cy
{ 5, 0}, // #1576 SwiftWriteLM5Cy
{ 6, 0}, // #1577 SwiftWriteLM6Cy
{ 7, 0}, // #1578 SwiftWriteLM7Cy
{ 2, 0}, // #1579 SwiftWriteP1TwoCycle
{ 1, 0}, // #1580 SwiftWriteLDMAddrWB
{ 3, 0}, // #1581 SwiftWriteLM3Cy
{ 4, 0}, // #1582 SwiftWriteLM4Cy
{ 5, 0}, // #1583 SwiftWriteLM5Cy
{ 6, 0}, // #1584 SwiftWriteLM6Cy
{ 7, 0}, // #1585 SwiftWriteLM7Cy
{ 8, 0}, // #1586 SwiftWriteLM8Cy
{ 2, 0}, // #1587 SwiftWriteP1TwoCycle
{ 1, 0}, // #1588 SwiftWriteLDMAddrWB
{ 3, 0}, // #1589 SwiftWriteLM3Cy
{ 4, 0}, // #1590 SwiftWriteLM4Cy
{ 5, 0}, // #1591 SwiftWriteLM5Cy
{ 6, 0}, // #1592 SwiftWriteLM6Cy
{ 7, 0}, // #1593 SwiftWriteLM7Cy
{ 8, 0}, // #1594 SwiftWriteLM8Cy
{ 9, 0}, // #1595 SwiftWriteLM9Cy
{ 2, 0}, // #1596 SwiftWriteP1TwoCycle
{ 1, 0}, // #1597 SwiftWriteLDMAddrWB
{ 3, 0}, // #1598 SwiftWriteLM3Cy
{ 4, 0}, // #1599 SwiftWriteLM4Cy
{ 5, 0}, // #1600 SwiftWriteLM5Cy
{ 6, 0}, // #1601 SwiftWriteLM6Cy
{ 7, 0}, // #1602 SwiftWriteLM7Cy
{ 8, 0}, // #1603 SwiftWriteLM8Cy
{ 9, 0}, // #1604 SwiftWriteLM9Cy
{10, 0}, // #1605 SwiftWriteLM10Cy
{ 2, 0}, // #1606 SwiftWriteP1TwoCycle
{ 1, 0}, // #1607 SwiftWriteLDMAddrWB
{ 3, 0}, // #1608 SwiftWriteLM3Cy
{ 4, 0}, // #1609 SwiftWriteLM4Cy
{ 5, 0}, // #1610 SwiftWriteLM5Cy
{ 6, 0}, // #1611 SwiftWriteLM6Cy
{ 7, 0}, // #1612 SwiftWriteLM7Cy
{ 8, 0}, // #1613 SwiftWriteLM8Cy
{ 9, 0}, // #1614 SwiftWriteLM9Cy
{10, 0}, // #1615 SwiftWriteLM10Cy
{11, 0}, // #1616 SwiftWriteLM11Cy
{ 2, 0}, // #1617 SwiftWriteP1TwoCycle
{ 1, 0}, // #1618 SwiftWriteLDMAddrWB
{ 3, 0}, // #1619 SwiftWriteLM3Cy
{ 4, 0}, // #1620 SwiftWriteLM4Cy
{ 5, 0}, // #1621 SwiftWriteLM5Cy
{ 6, 0}, // #1622 SwiftWriteLM6Cy
{ 7, 0}, // #1623 SwiftWriteLM7Cy
{ 8, 0}, // #1624 SwiftWriteLM8Cy
{ 9, 0}, // #1625 SwiftWriteLM9Cy
{10, 0}, // #1626 SwiftWriteLM10Cy
{11, 0}, // #1627 SwiftWriteLM11Cy
{12, 0}, // #1628 SwiftWriteLM12Cy
{ 2, 0}, // #1629 SwiftWriteP1TwoCycle
{ 1, 0}, // #1630 SwiftWriteLDMAddrWB
{ 3, 0}, // #1631 SwiftWriteLM3Cy
{ 4, 0}, // #1632 SwiftWriteLM4Cy
{ 5, 0}, // #1633 SwiftWriteLM5Cy
{ 6, 0}, // #1634 SwiftWriteLM6Cy
{ 7, 0}, // #1635 SwiftWriteLM7Cy
{ 8, 0}, // #1636 SwiftWriteLM8Cy
{ 9, 0}, // #1637 SwiftWriteLM9Cy
{10, 0}, // #1638 SwiftWriteLM10Cy
{11, 0}, // #1639 SwiftWriteLM11Cy
{12, 0}, // #1640 SwiftWriteLM12Cy
{13, 0}, // #1641 SwiftWriteLM13Cy
{ 2, 0}, // #1642 SwiftWriteP1TwoCycle
{ 1, 0}, // #1643 SwiftWriteLDMAddrWB
{ 3, 0}, // #1644 SwiftWriteLM3Cy
{ 4, 0}, // #1645 SwiftWriteLM4Cy
{ 5, 0}, // #1646 SwiftWriteLM5Cy
{ 6, 0}, // #1647 SwiftWriteLM6Cy
{ 7, 0}, // #1648 SwiftWriteLM7Cy
{ 8, 0}, // #1649 SwiftWriteLM8Cy
{ 9, 0}, // #1650 SwiftWriteLM9Cy
{10, 0}, // #1651 SwiftWriteLM10Cy
{11, 0}, // #1652 SwiftWriteLM11Cy
{12, 0}, // #1653 SwiftWriteLM12Cy
{13, 0}, // #1654 SwiftWriteLM13Cy
{14, 0}, // #1655 SwiftWriteLM14Cy
{ 2, 0}, // #1656 SwiftWriteP1TwoCycle
{ 1, 0}, // #1657 SwiftWriteLDMAddrWB
{ 3, 0}, // #1658 SwiftWriteLM3Cy
{ 4, 0}, // #1659 SwiftWriteLM4Cy
{ 5, 0}, // #1660 SwiftWriteLM5Cy
{ 6, 0}, // #1661 SwiftWriteLM6Cy
{ 7, 0}, // #1662 SwiftWriteLM7Cy
{ 8, 0}, // #1663 SwiftWriteLM8Cy
{ 9, 0}, // #1664 SwiftWriteLM9Cy
{10, 0}, // #1665 SwiftWriteLM10Cy
{11, 0}, // #1666 SwiftWriteLM11Cy
{12, 0}, // #1667 SwiftWriteLM12Cy
{13, 0}, // #1668 SwiftWriteLM13Cy
{14, 0}, // #1669 SwiftWriteLM14Cy
{15, 0}, // #1670 SwiftWriteLM15Cy
{ 2, 0}, // #1671 SwiftWriteP1TwoCycle
{ 1, 0}, // #1672 SwiftWriteLDMAddrWB
{ 3, 0}, // #1673 SwiftWriteLM3Cy
{ 4, 0}, // #1674 SwiftWriteLM4Cy
{ 5, 0}, // #1675 SwiftWriteLM5Cy
{ 6, 0}, // #1676 SwiftWriteLM6Cy
{ 7, 0}, // #1677 SwiftWriteLM7Cy
{ 8, 0}, // #1678 SwiftWriteLM8Cy
{ 9, 0}, // #1679 SwiftWriteLM9Cy
{10, 0}, // #1680 SwiftWriteLM10Cy
{11, 0}, // #1681 SwiftWriteLM11Cy
{12, 0}, // #1682 SwiftWriteLM12Cy
{13, 0}, // #1683 SwiftWriteLM13Cy
{14, 0}, // #1684 SwiftWriteLM14Cy
{15, 0}, // #1685 SwiftWriteLM15Cy
{16, 0}, // #1686 SwiftWriteLM16Cy
{ 2, 0}, // #1687 SwiftWriteP1TwoCycle
{ 1, 0}, // #1688 SwiftWriteLDMAddrWB
{ 3, 0}, // #1689 SwiftWriteLM3Cy
{ 4, 0}, // #1690 SwiftWriteLM4Cy
{ 5, 0}, // #1691 SwiftWriteLM5Cy
{ 6, 0}, // #1692 SwiftWriteLM6Cy
{ 7, 0}, // #1693 SwiftWriteLM7Cy
{ 8, 0}, // #1694 SwiftWriteLM8Cy
{ 9, 0}, // #1695 SwiftWriteLM9Cy
{10, 0}, // #1696 SwiftWriteLM10Cy
{11, 0}, // #1697 SwiftWriteLM11Cy
{12, 0}, // #1698 SwiftWriteLM12Cy
{13, 0}, // #1699 SwiftWriteLM13Cy
{14, 0}, // #1700 SwiftWriteLM14Cy
{15, 0}, // #1701 SwiftWriteLM15Cy
{16, 0}, // #1702 SwiftWriteLM16Cy
{17, 0}, // #1703 SwiftWriteLM17Cy
{ 2, 0}, // #1704 SwiftWriteP1TwoCycle
{ 1, 0}, // #1705 SwiftWriteLDMAddrWB
{ 3, 0}, // #1706 SwiftWriteLM3Cy
{ 4, 0}, // #1707 SwiftWriteLM4Cy
{ 5, 0}, // #1708 SwiftWriteLM5Cy_SwiftWriteLM5CyNo
{ 6, 0}, // #1709 SwiftWriteLM6Cy_SwiftWriteLM6CyNo
{ 7, 0}, // #1710 SwiftWriteLM7Cy_SwiftWriteLM7CyNo
{ 8, 0}, // #1711 SwiftWriteLM8Cy_SwiftWriteLM8CyNo
{ 9, 0}, // #1712 SwiftWriteLM9Cy_SwiftWriteLM9CyNo
{10, 0}, // #1713 SwiftWriteLM10Cy_SwiftWriteLM10CyNo
{11, 0}, // #1714 SwiftWriteLM11Cy_SwiftWriteLM11CyNo
{12, 0}, // #1715 SwiftWriteLM12Cy_SwiftWriteLM12CyNo
{13, 0}, // #1716 SwiftWriteLM13Cy_SwiftWriteLM13CyNo
{14, 0}, // #1717 SwiftWriteLM14Cy_SwiftWriteLM14CyNo
{15, 0}, // #1718 SwiftWriteLM15Cy_SwiftWriteLM15CyNo
{16, 0}, // #1719 SwiftWriteLM16Cy_SwiftWriteLM16CyNo
{17, 0}, // #1720 SwiftWriteLM17Cy_SwiftWriteLM17CyNo
{18, 0}, // #1721 SwiftWriteLM18Cy_SwiftWriteLM18CyNo
{ 2, 0}, // #1722 SwiftWriteP1TwoCycle_SwiftWriteP01OneCycle
{ 9, 0}, // #1723 SwiftWriteLM9Cy
{10, 0}, // #1724 SwiftWriteLM10Cy
{13, 0}, // #1725 SwiftWriteLM13CyNo
{ 1, 0}, // #1726 SwiftWriteP01OneCycle
{ 0, 0}, // #1727 SwiftVLDMPerm3
{ 4, 0}, // #1728 SwiftWriteLM4Cy
{ 4, 0}, // #1729 SwiftWriteLM4CyNo
{ 4, 0}, // #1730 SwiftWriteLM4CyNo
{ 4, 0}, // #1731 SwiftWriteLM4CyNo
{ 9, 0}, // #1732 SwiftWriteLM9Cy
{10, 0}, // #1733 SwiftWriteLM10Cy
{13, 0}, // #1734 SwiftWriteLM13CyNo
{14, 0}, // #1735 SwiftWriteLM14CyNo
{17, 0}, // #1736 SwiftWriteLM17CyNo
{ 1, 0}, // #1737 SwiftWriteP01OneCycle
{ 0, 0}, // #1738 SwiftVLDMPerm5
{ 7, 0}, // #1739 SwiftWriteLM7Cy
{ 8, 0}, // #1740 SwiftWriteLM8Cy
{10, 0}, // #1741 SwiftWriteLM10Cy
{14, 0}, // #1742 SwiftWriteLM14CyNo
{14, 0}, // #1743 SwiftWriteLM14CyNo
{14, 0}, // #1744 SwiftWriteLM14CyNo
{ 1, 0}, // #1745 SwiftWriteP01OneCycle
{ 0, 0}, // #1746 SwiftVLDMPerm5
{ 9, 0}, // #1747 SwiftWriteLM9Cy
{10, 0}, // #1748 SwiftWriteLM10Cy
{13, 0}, // #1749 SwiftWriteLM13Cy
{14, 0}, // #1750 SwiftWriteLM14CyNo
{17, 0}, // #1751 SwiftWriteLM17CyNo
{18, 0}, // #1752 SwiftWriteLM18CyNo
{21, 0}, // #1753 SwiftWriteLM21CyNo
{ 1, 0}, // #1754 SwiftWriteP01OneCycle
{ 0, 0}, // #1755 SwiftVLDMPerm7
{ 7, 0}, // #1756 SwiftWriteLM7Cy
{ 8, 0}, // #1757 SwiftWriteLM8Cy
{13, 0}, // #1758 SwiftWriteLM13Cy
{13, 0}, // #1759 SwiftWriteLM13CyNo
{13, 0}, // #1760 SwiftWriteLM13CyNo
{13, 0}, // #1761 SwiftWriteLM13CyNo
{13, 0}, // #1762 SwiftWriteLM13CyNo
{13, 0}, // #1763 SwiftWriteLM13CyNo
{ 1, 0}, // #1764 SwiftWriteP01OneCycle
{ 0, 0}, // #1765 SwiftVLDMPerm2
{ 9, 0}, // #1766 SwiftWriteLM9Cy
{10, 0}, // #1767 SwiftWriteLM10Cy
{13, 0}, // #1768 SwiftWriteLM13Cy
{14, 0}, // #1769 SwiftWriteLM14CyNo
{17, 0}, // #1770 SwiftWriteLM17CyNo
{18, 0}, // #1771 SwiftWriteLM18CyNo
{21, 0}, // #1772 SwiftWriteLM21CyNo
{22, 0}, // #1773 SwiftWriteLM22CyNo
{25, 0}, // #1774 SwiftWriteLM25CyNo
{ 1, 0}, // #1775 SwiftWriteP01OneCycle
{ 0, 0}, // #1776 SwiftVLDMPerm9
{ 7, 0}, // #1777 SwiftWriteLM7Cy
{ 8, 0}, // #1778 SwiftWriteLM8Cy
{10, 0}, // #1779 SwiftWriteLM10Cy
{14, 0}, // #1780 SwiftWriteLM14Cy
{14, 0}, // #1781 SwiftWriteLM14CyNo
{14, 0}, // #1782 SwiftWriteLM14CyNo
{14, 0}, // #1783 SwiftWriteLM14CyNo
{14, 0}, // #1784 SwiftWriteLM14CyNo
{14, 0}, // #1785 SwiftWriteLM14CyNo
{14, 0}, // #1786 SwiftWriteLM14CyNo
{ 1, 0}, // #1787 SwiftWriteP01OneCycle
{ 0, 0}, // #1788 SwiftVLDMPerm5
{ 9, 0}, // #1789 SwiftWriteLM9Cy
{10, 0}, // #1790 SwiftWriteLM10Cy
{13, 0}, // #1791 SwiftWriteLM13Cy
{14, 0}, // #1792 SwiftWriteLM14CyNo
{17, 0}, // #1793 SwiftWriteLM17CyNo
{18, 0}, // #1794 SwiftWriteLM18CyNo
{21, 0}, // #1795 SwiftWriteLM21CyNo
{22, 0}, // #1796 SwiftWriteLM22CyNo
{21, 0}, // #1797 SwiftWriteLM21CyNo
{22, 0}, // #1798 SwiftWriteLM22CyNo
{25, 0}, // #1799 SwiftWriteLM25CyNo
{ 1, 0}, // #1800 SwiftWriteP01OneCycle
{ 0, 0}, // #1801 SwiftVLDMPerm9
{ 7, 0}, // #1802 SwiftWriteLM7Cy
{ 8, 0}, // #1803 SwiftWriteLM8Cy
{11, 0}, // #1804 SwiftWriteLM11Cy
{11, 0}, // #1805 SwiftWriteLM11Cy
{11, 0}, // #1806 SwiftWriteLM11CyNo
{11, 0}, // #1807 SwiftWriteLM11CyNo
{11, 0}, // #1808 SwiftWriteLM11CyNo
{11, 0}, // #1809 SwiftWriteLM11CyNo
{11, 0}, // #1810 SwiftWriteLM11CyNo
{11, 0}, // #1811 SwiftWriteLM11CyNo
{11, 0}, // #1812 SwiftWriteLM11CyNo
{11, 0}, // #1813 SwiftWriteLM11CyNo
{ 1, 0}, // #1814 SwiftWriteP01OneCycle
{ 0, 0}, // #1815 SwiftVLDMPerm3
{ 9, 0}, // #1816 SwiftWriteLM9Cy
{10, 0}, // #1817 SwiftWriteLM10Cy
{13, 0}, // #1818 SwiftWriteLM13Cy
{14, 0}, // #1819 SwiftWriteLM14CyNo
{17, 0}, // #1820 SwiftWriteLM17CyNo
{18, 0}, // #1821 SwiftWriteLM18CyNo
{21, 0}, // #1822 SwiftWriteLM21CyNo
{22, 0}, // #1823 SwiftWriteLM22CyNo
{21, 0}, // #1824 SwiftWriteLM21CyNo
{22, 0}, // #1825 SwiftWriteLM22CyNo
{21, 0}, // #1826 SwiftWriteLM21CyNo
{22, 0}, // #1827 SwiftWriteLM22CyNo
{25, 0}, // #1828 SwiftWriteLM25CyNo
{ 1, 0}, // #1829 SwiftWriteP01OneCycle
{ 0, 0}, // #1830 SwiftVLDMPerm9
{ 7, 0}, // #1831 SwiftWriteLM7Cy
{ 8, 0}, // #1832 SwiftWriteLM8Cy
{10, 0}, // #1833 SwiftWriteLM10Cy
{14, 0}, // #1834 SwiftWriteLM14Cy
{14, 0}, // #1835 SwiftWriteLM14Cy
{14, 0}, // #1836 SwiftWriteLM14CyNo
{14, 0}, // #1837 SwiftWriteLM14CyNo
{14, 0}, // #1838 SwiftWriteLM14CyNo
{14, 0}, // #1839 SwiftWriteLM14CyNo
{14, 0}, // #1840 SwiftWriteLM14CyNo
{14, 0}, // #1841 SwiftWriteLM14CyNo
{14, 0}, // #1842 SwiftWriteLM14CyNo
{ 1, 0}, // #1843 SwiftWriteP01OneCycle
{ 0, 0}, // #1844 SwiftVLDMPerm7
{ 9, 0}, // #1845 SwiftWriteLM9Cy
{10, 0}, // #1846 SwiftWriteLM10Cy
{13, 0}, // #1847 SwiftWriteLM13Cy
{14, 0}, // #1848 SwiftWriteLM14Cy
{17, 0}, // #1849 SwiftWriteLM17Cy
{18, 0}, // #1850 SwiftWriteLM18CyNo
{21, 0}, // #1851 SwiftWriteLM21CyNo
{22, 0}, // #1852 SwiftWriteLM22CyNo
{21, 0}, // #1853 SwiftWriteLM21CyNo
{22, 0}, // #1854 SwiftWriteLM22CyNo
{21, 0}, // #1855 SwiftWriteLM21CyNo
{22, 0}, // #1856 SwiftWriteLM22CyNo
{21, 0}, // #1857 SwiftWriteLM21CyNo
{22, 0}, // #1858 SwiftWriteLM22CyNo
{25, 0}, // #1859 SwiftWriteLM25CyNo
{ 1, 0}, // #1860 SwiftWriteP01OneCycle
{ 0, 0}, // #1861 SwiftVLDMPerm9
{ 7, 0}, // #1862 SwiftWriteLM7Cy
{10, 0}, // #1863 SwiftWriteLM10Cy
{11, 0}, // #1864 SwiftWriteLM11Cy
{14, 0}, // #1865 SwiftWriteLM14Cy
{15, 0}, // #1866 SwiftWriteLM15Cy
{18, 0}, // #1867 SwiftWriteLM18CyNo
{19, 0}, // #1868 SwiftWriteLM19CyNo
{22, 0}, // #1869 SwiftWriteLM22CyNo
{19, 0}, // #1870 SwiftWriteLM19CyNo
{22, 0}, // #1871 SwiftWriteLM22CyNo
{19, 0}, // #1872 SwiftWriteLM19CyNo
{22, 0}, // #1873 SwiftWriteLM22CyNo
{19, 0}, // #1874 SwiftWriteLM19CyNo
{22, 0}, // #1875 SwiftWriteLM22CyNo
{19, 0}, // #1876 SwiftWriteLM19CyNo
{22, 0}, // #1877 SwiftWriteLM22CyNo
{ 1, 0}, // #1878 SwiftWriteP01OneCycle
{ 0, 0}, // #1879 SwiftVLDMPerm4
{ 7, 0}, // #1880 SwiftWriteLM7Cy
{ 8, 0}, // #1881 SwiftWriteLM8Cy
{13, 0}, // #1882 SwiftWriteLM13Cy
{13, 0}, // #1883 SwiftWriteLM13CyNo
{13, 0}, // #1884 SwiftWriteLM13CyNo
{13, 0}, // #1885 SwiftWriteLM13CyNo
{13, 0}, // #1886 SwiftWriteLM13CyNo
{13, 0}, // #1887 SwiftWriteLM13CyNo
{13, 0}, // #1888 SwiftWriteLM13CyNo
{13, 0}, // #1889 SwiftWriteLM13CyNo
{13, 0}, // #1890 SwiftWriteLM13CyNo
{13, 0}, // #1891 SwiftWriteLM13CyNo
{13, 0}, // #1892 SwiftWriteLM13CyNo
{13, 0}, // #1893 SwiftWriteLM13CyNo
{13, 0}, // #1894 SwiftWriteLM13CyNo
{13, 0}, // #1895 SwiftWriteLM13CyNo
{13, 0}, // #1896 SwiftWriteLM13CyNo
{13, 0}, // #1897 SwiftWriteLM13CyNo
{13, 0}, // #1898 SwiftWriteLM13CyNo
{13, 0}, // #1899 SwiftWriteLM13CyNo
{13, 0}, // #1900 SwiftWriteLM13CyNo
{13, 0}, // #1901 SwiftWriteLM13CyNo
{13, 0}, // #1902 SwiftWriteLM13CyNo
{13, 0}, // #1903 SwiftWriteLM13CyNo
{13, 0}, // #1904 SwiftWriteLM13CyNo
{13, 0}, // #1905 SwiftWriteLM13CyNo
{13, 0}, // #1906 SwiftWriteLM13CyNo
{13, 0}, // #1907 SwiftWriteLM13CyNo
{13, 0}, // #1908 SwiftWriteLM13CyNo
{13, 0}, // #1909 SwiftWriteLM13CyNo
{13, 0}, // #1910 SwiftWriteLM13CyNo
{13, 0}, // #1911 SwiftWriteLM13CyNo
{ 1, 0}, // #1912 SwiftWriteP01OneCycle
{ 0, 0}, // #1913 SwiftVLDMPerm2
{ 2, 0}, // #1914 SwiftWriteP01OneCycle
{ 4, 0}, // #1915 SwiftWriteLM4Cy
{ 4, 0}, // #1916 SwiftWriteLM4CyNo
{ 4, 0}, // #1917 SwiftWriteLM4CyNo
{ 4, 0}, // #1918 SwiftWriteLM4CyNo
{ 2, 0}, // #1919 SwiftWriteP01OneCycle
{ 9, 0}, // #1920 SwiftWriteLM9Cy
{10, 0}, // #1921 SwiftWriteLM10Cy
{13, 0}, // #1922 SwiftWriteLM13CyNo
{14, 0}, // #1923 SwiftWriteLM14CyNo
{17, 0}, // #1924 SwiftWriteLM17CyNo
{ 1, 0}, // #1925 SwiftWriteP01OneCycle
{ 0, 0}, // #1926 SwiftVLDMPerm5
{ 2, 0}, // #1927 SwiftWriteP01OneCycle
{ 7, 0}, // #1928 SwiftWriteLM7Cy
{ 8, 0}, // #1929 SwiftWriteLM8Cy
{10, 0}, // #1930 SwiftWriteLM10Cy
{14, 0}, // #1931 SwiftWriteLM14CyNo
{14, 0}, // #1932 SwiftWriteLM14CyNo
{14, 0}, // #1933 SwiftWriteLM14CyNo
{ 1, 0}, // #1934 SwiftWriteP01OneCycle
{ 0, 0}, // #1935 SwiftVLDMPerm5
{ 2, 0}, // #1936 SwiftWriteP01OneCycle
{ 9, 0}, // #1937 SwiftWriteLM9Cy
{10, 0}, // #1938 SwiftWriteLM10Cy
{13, 0}, // #1939 SwiftWriteLM13Cy
{14, 0}, // #1940 SwiftWriteLM14CyNo
{17, 0}, // #1941 SwiftWriteLM17CyNo
{18, 0}, // #1942 SwiftWriteLM18CyNo
{21, 0}, // #1943 SwiftWriteLM21CyNo
{ 1, 0}, // #1944 SwiftWriteP01OneCycle
{ 0, 0}, // #1945 SwiftVLDMPerm7
{ 2, 0}, // #1946 SwiftWriteP01OneCycle
{ 7, 0}, // #1947 SwiftWriteLM7Cy
{ 8, 0}, // #1948 SwiftWriteLM8Cy
{13, 0}, // #1949 SwiftWriteLM13Cy
{13, 0}, // #1950 SwiftWriteLM13CyNo
{13, 0}, // #1951 SwiftWriteLM13CyNo
{13, 0}, // #1952 SwiftWriteLM13CyNo
{13, 0}, // #1953 SwiftWriteLM13CyNo
{13, 0}, // #1954 SwiftWriteLM13CyNo
{ 1, 0}, // #1955 SwiftWriteP01OneCycle
{ 0, 0}, // #1956 SwiftVLDMPerm2
{ 2, 0}, // #1957 SwiftWriteP01OneCycle
{ 9, 0}, // #1958 SwiftWriteLM9Cy
{10, 0}, // #1959 SwiftWriteLM10Cy
{13, 0}, // #1960 SwiftWriteLM13Cy
{14, 0}, // #1961 SwiftWriteLM14CyNo
{17, 0}, // #1962 SwiftWriteLM17CyNo
{18, 0}, // #1963 SwiftWriteLM18CyNo
{21, 0}, // #1964 SwiftWriteLM21CyNo
{22, 0}, // #1965 SwiftWriteLM22CyNo
{25, 0}, // #1966 SwiftWriteLM25CyNo
{ 1, 0}, // #1967 SwiftWriteP01OneCycle
{ 0, 0}, // #1968 SwiftVLDMPerm9
{ 2, 0}, // #1969 SwiftWriteP01OneCycle
{ 7, 0}, // #1970 SwiftWriteLM7Cy
{ 8, 0}, // #1971 SwiftWriteLM8Cy
{10, 0}, // #1972 SwiftWriteLM10Cy
{14, 0}, // #1973 SwiftWriteLM14Cy
{14, 0}, // #1974 SwiftWriteLM14CyNo
{14, 0}, // #1975 SwiftWriteLM14CyNo
{14, 0}, // #1976 SwiftWriteLM14CyNo
{14, 0}, // #1977 SwiftWriteLM14CyNo
{14, 0}, // #1978 SwiftWriteLM14CyNo
{14, 0}, // #1979 SwiftWriteLM14CyNo
{ 1, 0}, // #1980 SwiftWriteP01OneCycle
{ 0, 0}, // #1981 SwiftVLDMPerm5
{ 2, 0}, // #1982 SwiftWriteP01OneCycle
{ 9, 0}, // #1983 SwiftWriteLM9Cy
{10, 0}, // #1984 SwiftWriteLM10Cy
{13, 0}, // #1985 SwiftWriteLM13Cy
{14, 0}, // #1986 SwiftWriteLM14CyNo
{17, 0}, // #1987 SwiftWriteLM17CyNo
{18, 0}, // #1988 SwiftWriteLM18CyNo
{21, 0}, // #1989 SwiftWriteLM21CyNo
{22, 0}, // #1990 SwiftWriteLM22CyNo
{21, 0}, // #1991 SwiftWriteLM21CyNo
{22, 0}, // #1992 SwiftWriteLM22CyNo
{25, 0}, // #1993 SwiftWriteLM25CyNo
{ 1, 0}, // #1994 SwiftWriteP01OneCycle
{ 0, 0}, // #1995 SwiftVLDMPerm9
{ 2, 0}, // #1996 SwiftWriteP01OneCycle
{ 7, 0}, // #1997 SwiftWriteLM7Cy
{ 8, 0}, // #1998 SwiftWriteLM8Cy
{11, 0}, // #1999 SwiftWriteLM11Cy
{11, 0}, // #2000 SwiftWriteLM11Cy
{11, 0}, // #2001 SwiftWriteLM11CyNo
{11, 0}, // #2002 SwiftWriteLM11CyNo
{11, 0}, // #2003 SwiftWriteLM11CyNo
{11, 0}, // #2004 SwiftWriteLM11CyNo
{11, 0}, // #2005 SwiftWriteLM11CyNo
{11, 0}, // #2006 SwiftWriteLM11CyNo
{11, 0}, // #2007 SwiftWriteLM11CyNo
{11, 0}, // #2008 SwiftWriteLM11CyNo
{ 1, 0}, // #2009 SwiftWriteP01OneCycle
{ 0, 0}, // #2010 SwiftVLDMPerm3
{ 2, 0}, // #2011 SwiftWriteP01OneCycle
{ 9, 0}, // #2012 SwiftWriteLM9Cy
{10, 0}, // #2013 SwiftWriteLM10Cy
{13, 0}, // #2014 SwiftWriteLM13Cy
{14, 0}, // #2015 SwiftWriteLM14CyNo
{17, 0}, // #2016 SwiftWriteLM17CyNo
{18, 0}, // #2017 SwiftWriteLM18CyNo
{21, 0}, // #2018 SwiftWriteLM21CyNo
{22, 0}, // #2019 SwiftWriteLM22CyNo
{21, 0}, // #2020 SwiftWriteLM21CyNo
{22, 0}, // #2021 SwiftWriteLM22CyNo
{21, 0}, // #2022 SwiftWriteLM21CyNo
{22, 0}, // #2023 SwiftWriteLM22CyNo
{25, 0}, // #2024 SwiftWriteLM25CyNo
{ 1, 0}, // #2025 SwiftWriteP01OneCycle
{ 0, 0}, // #2026 SwiftVLDMPerm9
{ 2, 0}, // #2027 SwiftWriteP01OneCycle
{ 7, 0}, // #2028 SwiftWriteLM7Cy
{ 8, 0}, // #2029 SwiftWriteLM8Cy
{10, 0}, // #2030 SwiftWriteLM10Cy
{14, 0}, // #2031 SwiftWriteLM14Cy
{14, 0}, // #2032 SwiftWriteLM14Cy
{14, 0}, // #2033 SwiftWriteLM14CyNo
{14, 0}, // #2034 SwiftWriteLM14CyNo
{14, 0}, // #2035 SwiftWriteLM14CyNo
{14, 0}, // #2036 SwiftWriteLM14CyNo
{14, 0}, // #2037 SwiftWriteLM14CyNo
{14, 0}, // #2038 SwiftWriteLM14CyNo
{14, 0}, // #2039 SwiftWriteLM14CyNo
{ 1, 0}, // #2040 SwiftWriteP01OneCycle
{ 0, 0}, // #2041 SwiftVLDMPerm7
{ 2, 0}, // #2042 SwiftWriteP01OneCycle
{ 9, 0}, // #2043 SwiftWriteLM9Cy
{10, 0}, // #2044 SwiftWriteLM10Cy
{13, 0}, // #2045 SwiftWriteLM13Cy
{14, 0}, // #2046 SwiftWriteLM14Cy
{17, 0}, // #2047 SwiftWriteLM17Cy
{18, 0}, // #2048 SwiftWriteLM18CyNo
{21, 0}, // #2049 SwiftWriteLM21CyNo
{22, 0}, // #2050 SwiftWriteLM22CyNo
{21, 0}, // #2051 SwiftWriteLM21CyNo
{22, 0}, // #2052 SwiftWriteLM22CyNo
{21, 0}, // #2053 SwiftWriteLM21CyNo
{22, 0}, // #2054 SwiftWriteLM22CyNo
{21, 0}, // #2055 SwiftWriteLM21CyNo
{22, 0}, // #2056 SwiftWriteLM22CyNo
{25, 0}, // #2057 SwiftWriteLM25CyNo
{ 1, 0}, // #2058 SwiftWriteP01OneCycle
{ 0, 0}, // #2059 SwiftVLDMPerm9
{ 2, 0}, // #2060 SwiftWriteP01OneCycle
{ 7, 0}, // #2061 SwiftWriteLM7Cy
{10, 0}, // #2062 SwiftWriteLM10Cy
{11, 0}, // #2063 SwiftWriteLM11Cy
{14, 0}, // #2064 SwiftWriteLM14Cy
{15, 0}, // #2065 SwiftWriteLM15Cy
{18, 0}, // #2066 SwiftWriteLM18CyNo
{19, 0}, // #2067 SwiftWriteLM19CyNo
{22, 0}, // #2068 SwiftWriteLM22CyNo
{19, 0}, // #2069 SwiftWriteLM19CyNo
{22, 0}, // #2070 SwiftWriteLM22CyNo
{19, 0}, // #2071 SwiftWriteLM19CyNo
{22, 0}, // #2072 SwiftWriteLM22CyNo
{19, 0}, // #2073 SwiftWriteLM19CyNo
{22, 0}, // #2074 SwiftWriteLM22CyNo
{19, 0}, // #2075 SwiftWriteLM19CyNo
{22, 0}, // #2076 SwiftWriteLM22CyNo
{ 1, 0}, // #2077 SwiftWriteP01OneCycle
{ 0, 0}, // #2078 SwiftVLDMPerm4
{ 2, 0}, // #2079 SwiftWriteP01OneCycle
{ 7, 0}, // #2080 SwiftWriteLM7Cy
{ 8, 0}, // #2081 SwiftWriteLM8Cy
{13, 0}, // #2082 SwiftWriteLM13Cy
{13, 0}, // #2083 SwiftWriteLM13CyNo
{13, 0}, // #2084 SwiftWriteLM13CyNo
{13, 0}, // #2085 SwiftWriteLM13CyNo
{13, 0}, // #2086 SwiftWriteLM13CyNo
{13, 0}, // #2087 SwiftWriteLM13CyNo
{13, 0}, // #2088 SwiftWriteLM13CyNo
{13, 0}, // #2089 SwiftWriteLM13CyNo
{13, 0}, // #2090 SwiftWriteLM13CyNo
{13, 0}, // #2091 SwiftWriteLM13CyNo
{13, 0}, // #2092 SwiftWriteLM13CyNo
{13, 0}, // #2093 SwiftWriteLM13CyNo
{13, 0}, // #2094 SwiftWriteLM13CyNo
{13, 0}, // #2095 SwiftWriteLM13CyNo
{13, 0}, // #2096 SwiftWriteLM13CyNo
{13, 0}, // #2097 SwiftWriteLM13CyNo
{13, 0}, // #2098 SwiftWriteLM13CyNo
{13, 0}, // #2099 SwiftWriteLM13CyNo
{13, 0}, // #2100 SwiftWriteLM13CyNo
{13, 0}, // #2101 SwiftWriteLM13CyNo
{13, 0}, // #2102 SwiftWriteLM13CyNo
{13, 0}, // #2103 SwiftWriteLM13CyNo
{13, 0}, // #2104 SwiftWriteLM13CyNo
{13, 0}, // #2105 SwiftWriteLM13CyNo
{13, 0}, // #2106 SwiftWriteLM13CyNo
{13, 0}, // #2107 SwiftWriteLM13CyNo
{13, 0}, // #2108 SwiftWriteLM13CyNo
{13, 0}, // #2109 SwiftWriteLM13CyNo
{13, 0}, // #2110 SwiftWriteLM13CyNo
{13, 0}, // #2111 SwiftWriteLM13CyNo
{ 1, 0}, // #2112 SwiftWriteP01OneCycle
{ 0, 0} // #2113 SwiftVLDMPerm2
}; // ARMWriteLatencyTable
// {UseIdx, WriteResourceID, Cycles}
extern const llvm::MCReadAdvanceEntry ARMReadAdvanceTable[] = {
{0, 0, 0}, // Invalid
{0, 40, 1}, // #1
{0, 41, 1}, // #2
{0, 42, 1}, // #3
{0, 43, 1}, // #4
{0, 44, 1}, // #5
{0, 45, 1}, // #6
{0, 46, 1}, // #7
{0, 47, 1}, // #8
{0, 48, 1}, // #9
{0, 49, 1}, // #10
{0, 50, 1}, // #11
{0, 51, 1}, // #12
{0, 52, 1}, // #13
{0, 53, 1}, // #14
{0, 54, 1}, // #15
{0, 55, 1}, // #16
{0, 56, 1}, // #17
{0, 57, 1}, // #18
{0, 83, 1}, // #19
{0, 84, 1}, // #20
{0, 107, 1}, // #21
{0, 40, 1}, // #22
{0, 41, 1}, // #23
{0, 42, 1}, // #24
{0, 43, 1}, // #25
{0, 44, 1}, // #26
{0, 45, 1}, // #27
{0, 46, 1}, // #28
{0, 47, 1}, // #29
{0, 48, 1}, // #30
{0, 49, 1}, // #31
{0, 50, 1}, // #32
{0, 51, 1}, // #33
{0, 52, 1}, // #34
{0, 53, 1}, // #35
{0, 54, 1}, // #36
{0, 55, 1}, // #37
{0, 56, 1}, // #38
{0, 57, 1}, // #39
{0, 83, 1}, // #40
{0, 84, 1}, // #41
{0, 107, 1}, // #42
{1, 40, 1}, // #43
{1, 41, 1}, // #44
{1, 42, 1}, // #45
{1, 43, 1}, // #46
{1, 44, 1}, // #47
{1, 45, 1}, // #48
{1, 46, 1}, // #49
{1, 47, 1}, // #50
{1, 48, 1}, // #51
{1, 49, 1}, // #52
{1, 50, 1}, // #53
{1, 51, 1}, // #54
{1, 52, 1}, // #55
{1, 53, 1}, // #56
{1, 54, 1}, // #57
{1, 55, 1}, // #58
{1, 56, 1}, // #59
{1, 57, 1}, // #60
{1, 83, 1}, // #61
{1, 84, 1}, // #62
{1, 107, 1}, // #63
{0, 0, 0}, // #64
{1, 0, 0}, // #65
{2, 0, 0}, // #66
{0, 0, 0}, // #67
{1, 0, 0}, // #68
{2, 0, 0}, // #69
{3, 0, 0}, // #70
{0, 0, 2}, // #71
{1, 0, 1}, // #72
{0, 0, 1}, // #73
{0, 0, 1}, // #74
{1, 0, 1}, // #75
{0, 0, 2}, // #76
{1, 0, 1}, // #77
{2, 0, 1}, // #78
{0, 0, 1}, // #79
{1, 0, 1}, // #80
{2, 0, 2}, // #81
{0, 0, 1}, // #82
{1, 0, 1}, // #83
{2, 0, 2}, // #84
{3, 0, 2}, // #85
{1, 0, 1}, // #86
{2, 0, 1}, // #87
{3, 0, 2}, // #88
{1, 0, 1}, // #89
{2, 0, 1}, // #90
{3, 0, 2}, // #91
{4, 0, 2}, // #92
{0, 0, 0}, // #93
{1, 0, 0}, // #94
{2, 146, 2}, // #95
{2, 147, 2}, // #96
{0, 0, 0}, // #97
{1, 0, 0}, // #98
{2, 146, 2}, // #99
{2, 147, 2}, // #100
{3, 146, 2}, // #101
{3, 147, 2}, // #102
{0, 169, 5}, // #103
{0, 180, 5}, // #104
{1, 0, 0}, // #105
{2, 0, 0}, // #106
{0, 167, 3}, // #107
{0, 168, 3}, // #108
{0, 185, 3}, // #109
{0, 187, 3}, // #110
{0, 179, 5}, // #111
{0, 184, 5}, // #112
{0, 166, 3}, // #113
{0, 177, 3}, // #114
{0, 177, 4}, // #115
{0, 178, 3}, // #116
{0, 178, 4}, // #117
{0, 186, 2}, // #118
{0, 186, 3}, // #119
{0, 176, 3}, // #120
{0, 176, 4}, // #121
{0, 0, 1}, // #122
{1, 0, 1}, // #123
{2, 0, 1}, // #124
{0, 0, 1}, // #125
{1, 0, 0}, // #126
{0, 0, 1}, // #127
{1, 0, 0}, // #128
{2, 0, 0}, // #129
{0, 0, 0}, // #130
{1, 0, 2}, // #131
{0, 0, 2}, // #132
{1, 0, 2}, // #133
{0, 0, 0}, // #134
{1, 0, 1}, // #135
{0, 0, 1}, // #136
{1, 0, 2}, // #137
{2, 0, 2}, // #138
{0, 0, 0}, // #139
{1, 0, 0}, // #140
{2, 0, 4}, // #141
{3, 0, 3}, // #142
{0, 0, 0}, // #143
{1, 0, 0}, // #144
{2, 0, 2}, // #145
{0, 0, 0}, // #146
{1, 0, 0}, // #147
{2, 0, 4}, // #148
{3, 0, 4} // #149
}; // ARMReadAdvanceTable
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexA9ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("IIC_iALUi_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #1
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #2
{DBGFIELD("IIC_iALUsr_WriteALUsi_ReadALU") 1, false, false, 1, 1, 2, 1, 1, 21}, // #3
{DBGFIELD("IIC_iALUsr_WriteALUSsr_ReadALUsr") 1, false, false, 1, 1, 3, 1, 1, 21}, // #4
{DBGFIELD("IIC_Br_WriteBr") 1, false, false, 2, 1, 1, 1, 0, 0}, // #5
{DBGFIELD("IIC_Br_WriteBrTbl") 1, false, false, 2, 1, 1, 1, 0, 0}, // #6
{DBGFIELD("IIC_iLoad_mBr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("IIC_iLoad_i") 1, false, false, 3, 2, 4, 2, 0, 0}, // #8
{DBGFIELD("IIC_iLoadiALU") 2, false, false, 5, 3, 6, 3, 0, 0}, // #9
{DBGFIELD("IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 8, 2, 9, 1, 64, 3}, // #10
{DBGFIELD("IIC_iCMOVi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #11
{DBGFIELD("IIC_iMOVi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #12
{DBGFIELD("IIC_iCMOVix2") 2, false, false, 8, 1, 2, 1, 0, 0}, // #13
{DBGFIELD("IIC_iCMOVr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #14
{DBGFIELD("IIC_iCMOVsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("IIC_iMOVix2addpc") 3, false, false, 10, 1, 3, 1, 0, 0}, // #16
{DBGFIELD("IIC_iMOVix2ld") 3, false, false, 11, 2, 10, 1, 0, 0}, // #17
{DBGFIELD("IIC_iMOVix2") 2, false, false, 8, 1, 2, 1, 0, 0}, // #18
{DBGFIELD("IIC_iMOVsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #19
{DBGFIELD("IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 8, 2, 9, 1, 64, 2}, // #20
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #21
{DBGFIELD("IIC_iLoad_r") 1, false, false, 3, 2, 4, 2, 0, 0}, // #22
{DBGFIELD("IIC_iLoad_bh_r") 1, false, false, 13, 2, 11, 2, 0, 0}, // #23
{DBGFIELD("IIC_iStore_r") 1, false, false, 3, 2, 7, 2, 0, 0}, // #24
{DBGFIELD("IIC_iStore_bh_r") 1, false, false, 13, 2, 13, 2, 0, 0}, // #25
{DBGFIELD("IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 1, false, false, 15, 2, 15, 2, 67, 4}, // #26
{DBGFIELD("IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 1, false, false, 15, 2, 15, 2, 64, 2}, // #27
{DBGFIELD("IIC_iStore_ru") 1, false, false, 3, 2, 7, 2, 0, 0}, // #28
{DBGFIELD("IIC_Br") 1, false, false, 2, 1, 1, 1, 0, 0}, // #29
{DBGFIELD("IIC_VMOVImm") 1, false, false, 17, 2, 3, 1, 0, 0}, // #30
{DBGFIELD("IIC_fpUNA64") 1, false, false, 17, 2, 1, 1, 0, 0}, // #31
{DBGFIELD("IIC_fpUNA16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("IIC_fpUNA32") 1, false, false, 17, 2, 1, 1, 0, 0}, // #33
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALUsr") 1, false, false, 1, 1, 2, 1, 1, 21}, // #34
{DBGFIELD("IIC_iCMOVsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #35
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALU") 1, false, false, 1, 1, 2, 1, 1, 21}, // #36
{DBGFIELD("IIC_iStore_ru_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #37
{DBGFIELD("IIC_iALUr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #38
{DBGFIELD("IIC_iALUi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #39
{DBGFIELD("IIC_iLoad_mu") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("IIC_iPop_Br_WriteBrL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #41
{DBGFIELD("IIC_iALUsr_WriteALUsr_ReadALUsr") 1, false, false, 1, 1, 3, 1, 1, 21}, // #42
{DBGFIELD("IIC_iBITi_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #43
{DBGFIELD("IIC_iBITr_WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #44
{DBGFIELD("IIC_iBITsr_WriteALUsi_ReadALU") 1, false, false, 1, 1, 2, 1, 1, 21}, // #45
{DBGFIELD("IIC_iBITsr_WriteALUsr_ReadALUsr") 1, false, false, 1, 1, 3, 1, 1, 21}, // #46
{DBGFIELD("IIC_iUNAsi") 1, false, false, 1, 1, 2, 1, 0, 0}, // #47
{DBGFIELD("IIC_Br_WriteBrL") 1, false, false, 2, 1, 1, 1, 0, 0}, // #48
{DBGFIELD("WriteBrL") 1, false, false, 2, 1, 1, 1, 0, 0}, // #49
{DBGFIELD("WriteBr") 1, false, false, 2, 1, 1, 1, 0, 0}, // #50
{DBGFIELD("IIC_iUNAr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #51
{DBGFIELD("IIC_iCMPi_WriteCMP_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #52
{DBGFIELD("IIC_iCMPr_WriteCMP_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #53
{DBGFIELD("IIC_iCMPsr_WriteCMPsi_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #54
{DBGFIELD("IIC_iCMPsr_WriteCMPsr_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #55
{DBGFIELD("IIC_fpSTAT") 1, false, false, 17, 2, 1, 1, 0, 0}, // #56
{DBGFIELD("IIC_iLoad_m") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #57
{DBGFIELD("IIC_iLoad_bh_ru") 1, false, false, 13, 2, 11, 2, 0, 0}, // #58
{DBGFIELD("IIC_iLoad_bh_iu") 1, false, false, 13, 2, 11, 2, 0, 0}, // #59
{DBGFIELD("IIC_iLoad_bh_si") 1, false, false, 13, 2, 17, 2, 0, 0}, // #60
{DBGFIELD("IIC_iLoad_d_r") 1, false, false, 3, 2, 19, 3, 0, 0}, // #61
{DBGFIELD("IIC_iLoad_d_ru") 1, false, false, 3, 2, 19, 3, 0, 0}, // #62
{DBGFIELD("IIC_iLoad_ru") 1, false, false, 3, 2, 4, 2, 0, 0}, // #63
{DBGFIELD("IIC_iLoad_iu") 1, false, false, 3, 2, 4, 2, 0, 0}, // #64
{DBGFIELD("IIC_iLoad_si") 1, false, false, 3, 2, 22, 2, 0, 0}, // #65
{DBGFIELD("IIC_iMOVr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #66
{DBGFIELD("IIC_iMOVsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #67
{DBGFIELD("IIC_iMVNi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #68
{DBGFIELD("IIC_iMVNr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #69
{DBGFIELD("IIC_iMVNsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #70
{DBGFIELD("IIC_iBITsi_WriteALUsi_ReadALU") 1, false, false, 1, 1, 2, 1, 1, 21}, // #71
{DBGFIELD("IIC_Preload_WritePreLd") 1, false, false, 0, 0, 1, 1, 0, 0}, // #72
{DBGFIELD("IIC_iDIV_WriteDIV") 1, false, false, 0, 0, 0, 1, 0, 0}, // #73
{DBGFIELD("IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 19, 2, 3, 1, 64, 3}, // #74
{DBGFIELD("WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 8, 2, 9, 1, 64, 3}, // #75
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 1, false, false, 15, 2, 15, 2, 67, 4}, // #76
{DBGFIELD("WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 1, false, false, 15, 2, 15, 2, 64, 2}, // #77
{DBGFIELD("WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 8, 2, 9, 1, 64, 2}, // #78
{DBGFIELD("IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL") 1, false, false, 19, 2, 3, 1, 64, 2}, // #79
{DBGFIELD("IIC_iStore_m") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #80
{DBGFIELD("IIC_iStore_mu") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #81
{DBGFIELD("IIC_iStore_bh_ru") 1, false, false, 13, 2, 13, 2, 0, 0}, // #82
{DBGFIELD("IIC_iStore_bh_iu") 1, false, false, 13, 2, 13, 2, 0, 0}, // #83
{DBGFIELD("IIC_iStore_bh_si") 1, false, false, 21, 2, 24, 2, 0, 0}, // #84
{DBGFIELD("IIC_iStore_d_r") 1, false, false, 3, 2, 7, 2, 0, 0}, // #85
{DBGFIELD("IIC_iStore_d_ru") 1, false, false, 3, 2, 7, 2, 0, 0}, // #86
{DBGFIELD("IIC_iStore_iu") 1, false, false, 3, 2, 7, 2, 0, 0}, // #87
{DBGFIELD("IIC_iStore_si") 1, false, false, 13, 2, 13, 2, 0, 0}, // #88
{DBGFIELD("IIC_iEXTAr_WriteALUsr") 1, false, false, 1, 1, 3, 1, 0, 0}, // #89
{DBGFIELD("IIC_iEXTr_WriteALUsi") 1, false, false, 1, 1, 2, 1, 0, 0}, // #90
{DBGFIELD("IIC_iTSTi_WriteCMP_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #91
{DBGFIELD("IIC_iTSTr_WriteCMP_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #92
{DBGFIELD("IIC_iTSTsr_WriteCMPsi_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #93
{DBGFIELD("IIC_iTSTsr_WriteCMPsr_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #94
{DBGFIELD("IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL") 1, false, false, 15, 2, 15, 2, 64, 2}, // #95
{DBGFIELD("WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #96
{DBGFIELD("IIC_VABAD") 1, false, false, 17, 2, 26, 1, 71, 2}, // #97
{DBGFIELD("IIC_VABAQ") 1, false, false, 17, 2, 26, 1, 71, 2}, // #98
{DBGFIELD("IIC_VSUBi4Q") 1, false, false, 17, 2, 9, 1, 73, 1}, // #99
{DBGFIELD("IIC_VBIND") 1, false, false, 17, 2, 16, 1, 74, 2}, // #100
{DBGFIELD("IIC_VBINQ") 1, false, false, 17, 2, 26, 1, 74, 2}, // #101
{DBGFIELD("IIC_VSUBi4D") 1, false, false, 17, 2, 9, 1, 73, 1}, // #102
{DBGFIELD("IIC_VUNAD") 1, false, false, 17, 2, 16, 1, 73, 1}, // #103
{DBGFIELD("IIC_VUNAQ") 1, false, false, 17, 2, 26, 1, 73, 1}, // #104
{DBGFIELD("IIC_VUNAiQ") 1, false, false, 17, 2, 9, 1, 73, 1}, // #105
{DBGFIELD("IIC_VUNAiD") 1, false, false, 17, 2, 9, 1, 73, 1}, // #106
{DBGFIELD("IIC_fpALU64_WriteFPALU64") 1, false, false, 17, 2, 9, 1, 0, 0}, // #107
{DBGFIELD("IIC_fpALU16_WriteFPALU32") 1, false, false, 17, 2, 9, 1, 0, 0}, // #108
{DBGFIELD("IIC_VBINi4D") 1, false, false, 17, 2, 9, 1, 74, 2}, // #109
{DBGFIELD("IIC_VSHLiD") 1, false, false, 17, 2, 3, 1, 0, 0}, // #110
{DBGFIELD("IIC_fpALU32_WriteFPALU32") 1, false, false, 17, 2, 9, 1, 0, 0}, // #111
{DBGFIELD("IIC_VSUBiD") 1, false, false, 17, 2, 3, 1, 73, 1}, // #112
{DBGFIELD("IIC_VBINiQ") 1, false, false, 17, 2, 3, 1, 74, 2}, // #113
{DBGFIELD("IIC_VBINiD") 1, false, false, 17, 2, 3, 1, 74, 2}, // #114
{DBGFIELD("IIC_VCNTiD") 1, false, false, 17, 2, 3, 1, 73, 1}, // #115
{DBGFIELD("IIC_VCNTiQ") 1, false, false, 23, 2, 9, 1, 71, 1}, // #116
{DBGFIELD("IIC_VMACD") 1, false, false, 17, 2, 27, 1, 71, 2}, // #117
{DBGFIELD("IIC_VMACQ") 1, false, false, 17, 2, 28, 1, 71, 2}, // #118
{DBGFIELD("IIC_fpCMP64") 1, false, false, 17, 2, 1, 1, 0, 0}, // #119
{DBGFIELD("IIC_fpCMP16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #120
{DBGFIELD("IIC_fpCMP32") 1, false, false, 17, 2, 1, 1, 0, 0}, // #121
{DBGFIELD("WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #122
{DBGFIELD("IIC_fpCVTSH_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #123
{DBGFIELD("IIC_fpCVTHS_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #124
{DBGFIELD("IIC_fpCVTDS_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #125
{DBGFIELD("IIC_fpCVTSD_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #126
{DBGFIELD("IIC_fpDIV64_WriteFPDIV64") 1, false, false, 17, 2, 29, 1, 0, 0}, // #127
{DBGFIELD("IIC_fpDIV16_WriteFPDIV32") 1, false, false, 17, 2, 30, 1, 0, 0}, // #128
{DBGFIELD("IIC_fpDIV32_WriteFPDIV32") 1, false, false, 17, 2, 30, 1, 0, 0}, // #129
{DBGFIELD("IIC_VMOVIS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #130
{DBGFIELD("IIC_VMOVD") 1, false, false, 17, 2, 2, 1, 0, 0}, // #131
{DBGFIELD("IIC_VMOVQ") 1, false, false, 17, 2, 2, 1, 0, 0}, // #132
{DBGFIELD("IIC_VEXTD") 2, false, false, 25, 2, 12, 2, 0, 0}, // #133
{DBGFIELD("IIC_VEXTQ") 2, false, false, 25, 2, 31, 2, 72, 1}, // #134
{DBGFIELD("IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 27, 1, 64, 3}, // #135
{DBGFIELD("IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 33, 1, 64, 3}, // #136
{DBGFIELD("IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 33, 1, 64, 3}, // #137
{DBGFIELD("IIC_VFMACD") 1, false, false, 17, 2, 27, 1, 71, 2}, // #138
{DBGFIELD("IIC_VFMACQ") 1, false, false, 17, 2, 28, 1, 71, 2}, // #139
{DBGFIELD("IIC_VMOVSI") 1, false, false, 17, 2, 2, 1, 0, 0}, // #140
{DBGFIELD("IIC_VBINi4Q") 1, false, false, 17, 2, 9, 1, 74, 2}, // #141
{DBGFIELD("IIC_fpCVTDI") 1, false, false, 17, 2, 9, 1, 0, 0}, // #142
{DBGFIELD("IIC_VLD1dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #143
{DBGFIELD("IIC_VLD1dupu") 1, false, false, 27, 3, 34, 2, 0, 0}, // #144
{DBGFIELD("IIC_VLD1dup") 1, false, false, 27, 3, 34, 2, 0, 0}, // #145
{DBGFIELD("IIC_VLD1dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #146
{DBGFIELD("IIC_VLD1ln") 1, false, false, 30, 3, 36, 2, 0, 0}, // #147
{DBGFIELD("IIC_VLD1lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #148
{DBGFIELD("IIC_VLD1ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #149
{DBGFIELD("IIC_VLD1_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #150
{DBGFIELD("IIC_VLD1x4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #151
{DBGFIELD("IIC_VLD1x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #152
{DBGFIELD("IIC_VLD1x3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #153
{DBGFIELD("IIC_VLD1x2u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #154
{DBGFIELD("IIC_VLD1u_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #155
{DBGFIELD("IIC_VLD1x2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #156
{DBGFIELD("IIC_VLD1x2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #157
{DBGFIELD("IIC_VLD2dup") 1, false, false, 27, 3, 34, 2, 0, 0}, // #158
{DBGFIELD("IIC_VLD2dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #159
{DBGFIELD("IIC_VLD2dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #160
{DBGFIELD("IIC_VLD2ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #161
{DBGFIELD("IIC_VLD2lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #162
{DBGFIELD("IIC_VLD2lnu") 1, false, false, 30, 3, 36, 2, 0, 0}, // #163
{DBGFIELD("IIC_VLD2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #164
{DBGFIELD("IIC_VLD2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #165
{DBGFIELD("IIC_VLD2x2_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #166
{DBGFIELD("IIC_VLD2x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #167
{DBGFIELD("IIC_VLD3dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #168
{DBGFIELD("IIC_VLD3dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #169
{DBGFIELD("IIC_VLD3ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #170
{DBGFIELD("IIC_VLD3lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #171
{DBGFIELD("IIC_VLD3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #172
{DBGFIELD("IIC_VLD3u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #173
{DBGFIELD("IIC_VLD4dup") 1, false, false, 33, 3, 12, 2, 0, 0}, // #174
{DBGFIELD("IIC_VLD4dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #175
{DBGFIELD("IIC_VLD4dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #176
{DBGFIELD("IIC_VLD4ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #177
{DBGFIELD("IIC_VLD4lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #178
{DBGFIELD("IIC_VLD4lnu") 1, false, false, 36, 3, 38, 2, 0, 0}, // #179
{DBGFIELD("IIC_VLD4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #180
{DBGFIELD("IIC_VLD4u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #181
{DBGFIELD("IIC_fpLoad_mu") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #182
{DBGFIELD("IIC_fpLoad_m") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #183
{DBGFIELD("IIC_fpLoad64") 1, false, false, 39, 3, 7, 2, 0, 0}, // #184
{DBGFIELD("IIC_fpLoad16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #185
{DBGFIELD("IIC_fpLoad32") 1, false, false, 39, 3, 7, 2, 0, 0}, // #186
{DBGFIELD("IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 27, 1, 64, 3}, // #187
{DBGFIELD("IIC_fpMAC16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #188
{DBGFIELD("IIC_VMACi32D") 1, false, false, 23, 2, 40, 1, 71, 2}, // #189
{DBGFIELD("IIC_VMACi16D") 1, false, false, 17, 2, 26, 1, 76, 3}, // #190
{DBGFIELD("IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 33, 1, 64, 3}, // #191
{DBGFIELD("IIC_VMACi32Q") 1, false, false, 23, 2, 27, 1, 71, 2}, // #192
{DBGFIELD("IIC_VMACi16Q") 1, false, false, 17, 2, 40, 1, 76, 3}, // #193
{DBGFIELD("IIC_fpMOVID_WriteFPMOV") 1, false, false, 17, 2, 1, 1, 0, 0}, // #194
{DBGFIELD("IIC_fpMOVIS_WriteFPMOV") 1, false, false, 17, 2, 1, 1, 0, 0}, // #195
{DBGFIELD("IIC_VQUNAiD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #196
{DBGFIELD("IIC_VMOVN") 1, false, false, 17, 2, 3, 1, 0, 0}, // #197
{DBGFIELD("IIC_fpMOVSI_WriteFPMOV") 1, false, false, 17, 2, 1, 1, 0, 0}, // #198
{DBGFIELD("IIC_fpMOVDI_WriteFPMOV") 1, false, false, 17, 2, 1, 1, 0, 0}, // #199
{DBGFIELD("IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 26, 1, 64, 2}, // #200
{DBGFIELD("IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 16, 1, 64, 2}, // #201
{DBGFIELD("IIC_VMULi16D") 1, false, false, 17, 2, 26, 1, 74, 2}, // #202
{DBGFIELD("IIC_VMULi32D") 1, false, false, 23, 2, 40, 1, 73, 1}, // #203
{DBGFIELD("IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 17, 2, 16, 1, 64, 2}, // #204
{DBGFIELD("IIC_VFMULD") 1, false, false, 17, 2, 16, 1, 73, 1}, // #205
{DBGFIELD("IIC_VFMULQ") 1, false, false, 17, 2, 26, 1, 73, 1}, // #206
{DBGFIELD("IIC_VMULi16Q") 1, false, false, 17, 2, 40, 1, 74, 2}, // #207
{DBGFIELD("IIC_VMULi32Q") 1, false, false, 23, 2, 27, 1, 73, 1}, // #208
{DBGFIELD("IIC_VSHLiQ") 1, false, false, 17, 2, 3, 1, 0, 0}, // #209
{DBGFIELD("IIC_VPALiQ") 1, false, false, 17, 2, 26, 1, 71, 1}, // #210
{DBGFIELD("IIC_VPALiD") 1, false, false, 17, 2, 26, 1, 71, 1}, // #211
{DBGFIELD("IIC_VPBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #212
{DBGFIELD("IIC_VQUNAiQ") 1, false, false, 17, 2, 9, 1, 0, 0}, // #213
{DBGFIELD("IIC_VSHLi4Q") 1, false, false, 17, 2, 9, 1, 0, 0}, // #214
{DBGFIELD("IIC_VSHLi4D") 1, false, false, 17, 2, 9, 1, 0, 0}, // #215
{DBGFIELD("IIC_VRECSD") 1, false, false, 17, 2, 27, 1, 74, 2}, // #216
{DBGFIELD("IIC_VRECSQ") 1, false, false, 17, 2, 28, 1, 74, 2}, // #217
{DBGFIELD("IIC_VDOTPROD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #218
{DBGFIELD("IIC_VMOVISL") 1, false, false, 17, 2, 3, 1, 0, 0}, // #219
{DBGFIELD("IIC_fpCVTID_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #220
{DBGFIELD("IIC_fpCVTIH_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #221
{DBGFIELD("IIC_fpCVTIS_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #222
{DBGFIELD("IIC_fpSQRT64_WriteFPSQRT64") 1, false, false, 17, 2, 41, 1, 0, 0}, // #223
{DBGFIELD("IIC_fpSQRT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("IIC_fpSQRT32_WriteFPSQRT32") 1, false, false, 17, 2, 42, 1, 0, 0}, // #225
{DBGFIELD("IIC_VST1ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #226
{DBGFIELD("IIC_VST1lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #227
{DBGFIELD("IIC_VST1_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #228
{DBGFIELD("IIC_VST1x4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #229
{DBGFIELD("IIC_VLD1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #230
{DBGFIELD("IIC_VST1x3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #231
{DBGFIELD("IIC_VLD1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #232
{DBGFIELD("IIC_VLD1u_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #233
{DBGFIELD("IIC_VST1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #234
{DBGFIELD("IIC_VST1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #235
{DBGFIELD("IIC_VST1x2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #236
{DBGFIELD("IIC_VLD1x2u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #237
{DBGFIELD("IIC_VST2ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #238
{DBGFIELD("IIC_VST2lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #239
{DBGFIELD("IIC_VST2lnu") 1, false, false, 39, 3, 7, 2, 0, 0}, // #240
{DBGFIELD("IIC_VST2") 1, false, false, 39, 3, 7, 2, 0, 0}, // #241
{DBGFIELD("IIC_VLD1u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #242
{DBGFIELD("IIC_VST2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #243
{DBGFIELD("IIC_VST2x2_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #244
{DBGFIELD("IIC_VST2x2u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #245
{DBGFIELD("IIC_VLD1u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #246
{DBGFIELD("IIC_VST3ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #247
{DBGFIELD("IIC_VST3lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #248
{DBGFIELD("IIC_VST3lnu") 1, false, false, 33, 3, 12, 2, 0, 0}, // #249
{DBGFIELD("IIC_VST3ln") 1, false, false, 33, 3, 12, 2, 0, 0}, // #250
{DBGFIELD("IIC_VST3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #251
{DBGFIELD("IIC_VST3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #252
{DBGFIELD("IIC_VST4ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #253
{DBGFIELD("IIC_VST4lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #254
{DBGFIELD("IIC_VST4lnu") 1, false, false, 33, 3, 12, 2, 0, 0}, // #255
{DBGFIELD("IIC_VST4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #256
{DBGFIELD("IIC_VST4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #257
{DBGFIELD("IIC_fpStore_mu") 1, false, false, 39, 3, 7, 2, 0, 0}, // #258
{DBGFIELD("IIC_fpStore_m") 1, false, false, 39, 3, 7, 2, 0, 0}, // #259
{DBGFIELD("IIC_fpStore64") 1, false, false, 39, 3, 7, 2, 0, 0}, // #260
{DBGFIELD("IIC_fpStore16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #261
{DBGFIELD("IIC_fpStore32") 1, false, false, 39, 3, 7, 2, 0, 0}, // #262
{DBGFIELD("IIC_VSUBiQ") 1, false, false, 17, 2, 3, 1, 73, 1}, // #263
{DBGFIELD("IIC_VTB1") 1, false, false, 17, 2, 3, 1, 73, 1}, // #264
{DBGFIELD("IIC_VTB2") 1, false, false, 17, 2, 3, 1, 74, 2}, // #265
{DBGFIELD("IIC_VTB3") 1, false, false, 17, 2, 9, 1, 79, 3}, // #266
{DBGFIELD("IIC_VTB4") 1, false, false, 17, 2, 9, 1, 82, 4}, // #267
{DBGFIELD("IIC_VTBX1") 1, false, false, 17, 2, 3, 1, 72, 1}, // #268
{DBGFIELD("IIC_VTBX2") 1, false, false, 17, 2, 3, 1, 77, 2}, // #269
{DBGFIELD("IIC_VTBX3") 1, false, false, 17, 2, 9, 1, 86, 3}, // #270
{DBGFIELD("IIC_VTBX4") 1, false, false, 17, 2, 9, 1, 89, 4}, // #271
{DBGFIELD("IIC_fpCVTDI_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #272
{DBGFIELD("IIC_fpCVTHI_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #273
{DBGFIELD("IIC_fpCVTSI_WriteFPCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #274
{DBGFIELD("IIC_VPERMD") 2, false, false, 25, 2, 12, 2, 0, 0}, // #275
{DBGFIELD("IIC_VPERMQ") 2, false, false, 25, 2, 12, 2, 0, 0}, // #276
{DBGFIELD("IIC_VPERMQ3") 2, false, false, 25, 2, 31, 2, 72, 1}, // #277
{DBGFIELD("IIC_iUNAsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #278
{DBGFIELD("IIC_iBITi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 22, 42}, // #280
{DBGFIELD("IIC_iCMPi_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #281
{DBGFIELD("IIC_iCMPr_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #282
{DBGFIELD("IIC_iCMPsi_WriteCMPsi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #283
{DBGFIELD("IIC_iALUx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #284
{DBGFIELD("WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #285
{DBGFIELD("IIC_iLoad_bh_i_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #286
{DBGFIELD("IIC_iLoad_bh_iu_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #287
{DBGFIELD("IIC_iLoad_bh_si_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #288
{DBGFIELD("IIC_iLoad_d_ru_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #289
{DBGFIELD("IIC_iLoad_d_i_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #290
{DBGFIELD("IIC_iLoad_i_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #291
{DBGFIELD("IIC_iLoad_iu_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #292
{DBGFIELD("IIC_iLoad_si_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #293
{DBGFIELD("IIC_iMVNsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #294
{DBGFIELD("IIC_iALUsir_WriteALUsi_ReadALU") 1, false, false, 1, 1, 2, 1, 1, 21}, // #295
{DBGFIELD("IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 19, 2, 3, 1, 64, 3}, // #296
{DBGFIELD("IIC_iMAC32") 1, false, false, 15, 2, 15, 2, 0, 0}, // #297
{DBGFIELD("WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #298
{DBGFIELD("WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #299
{DBGFIELD("IIC_iStore_bh_i_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #300
{DBGFIELD("IIC_iStore_bh_iu_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #301
{DBGFIELD("IIC_iStore_bh_si_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #302
{DBGFIELD("IIC_iStore_d_ru_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #303
{DBGFIELD("IIC_iStore_d_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #304
{DBGFIELD("IIC_iStore_iu_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #305
{DBGFIELD("IIC_iStore_i_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #306
{DBGFIELD("IIC_iStore_si_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #307
{DBGFIELD("IIC_iEXTAsr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #308
{DBGFIELD("IIC_iEXTr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 1, 21}, // #309
{DBGFIELD("IIC_iTSTi_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #310
{DBGFIELD("IIC_iTSTr_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #311
{DBGFIELD("IIC_iTSTsi_WriteCMPsi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #312
{DBGFIELD("IIC_iBITr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #313
{DBGFIELD("IIC_iLoad_bh_r_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #314
{DBGFIELD("IIC_iLoad_r_WriteLd") 1, false, false, 4, 1, 4, 1, 0, 0}, // #315
{DBGFIELD("IIC_iPop_WriteLd") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #316
{DBGFIELD("IIC_iStore_m_WriteST") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #317
{DBGFIELD("IIC_iStore_bh_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #318
{DBGFIELD("IIC_iStore_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #319
{DBGFIELD("IIC_iTSTr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #320
{DBGFIELD("ANDri_ORRri_EORri_BICri") 1, false, false, 1, 1, 1, 1, 0, 0}, // #321
{DBGFIELD("ANDrr_ORRrr_EORrr_BICrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #322
{DBGFIELD("ANDrsi_ORRrsi_EORrsi_BICrsi") 1, false, false, 1, 1, 2, 1, 0, 0}, // #323
{DBGFIELD("ANDrsr_ORRrsr_EORrsr_BICrsr") 1, false, false, 1, 1, 3, 1, 0, 0}, // #324
{DBGFIELD("MOVsra_flag_MOVsrl_flag") 1, false, false, 1, 1, 2, 1, 0, 0}, // #325
{DBGFIELD("MOVsr_MOVsi") 1, false, false, 1, 1, 2, 1, 0, 0}, // #326
{DBGFIELD("MVNsr") 1, false, false, 1, 1, 2, 1, 0, 0}, // #327
{DBGFIELD("MOVCCsi_MOVCCsr") 1, false, false, 1, 1, 2, 1, 0, 0}, // #328
{DBGFIELD("MVNr") 1, false, false, 1, 1, 1, 1, 1, 21}, // #329
{DBGFIELD("MOVCCi32imm") 2, false, false, 8, 1, 2, 1, 0, 0}, // #330
{DBGFIELD("MOVi32imm") 2, false, false, 8, 1, 2, 1, 0, 0}, // #331
{DBGFIELD("MOV_ga_pcrel") 3, false, false, 10, 1, 3, 1, 0, 0}, // #332
{DBGFIELD("MOV_ga_pcrel_ldr") 3, false, false, 10, 1, 3, 1, 0, 0}, // #333
{DBGFIELD("SEL") 1, false, false, 1, 1, 1, 1, 0, 0}, // #334
{DBGFIELD("BFC_BFI_UBFX_SBFX") 1, false, false, 1, 1, 2, 1, 0, 0}, // #335
{DBGFIELD("MULv5_MUL_SMMUL_SMMULR") 1, false, false, 8, 2, 9, 1, 0, 0}, // #336
{DBGFIELD("MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR") 1, false, false, 8, 2, 9, 1, 0, 0}, // #337
{DBGFIELD("SMULLv5_SMULL_UMULLv5") 1, false, false, 15, 2, 15, 2, 0, 0}, // #338
{DBGFIELD("UMULL") 1, false, false, 15, 2, 15, 2, 0, 0}, // #339
{DBGFIELD("SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT") 1, false, false, 15, 2, 15, 2, 0, 0}, // #340
{DBGFIELD("SMLAD_SMLADX_SMLSD_SMLSDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #341
{DBGFIELD("SMLALD_SMLSLD") 1, false, false, 15, 2, 15, 2, 0, 0}, // #342
{DBGFIELD("SMLALDX_SMLSLDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #343
{DBGFIELD("SMUAD_SMUADX_SMUSD_SMUSDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #344
{DBGFIELD("SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT") 1, false, false, 8, 2, 31, 2, 0, 0}, // #345
{DBGFIELD("SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT") 1, false, false, 8, 2, 31, 2, 0, 0}, // #346
{DBGFIELD("LDRi12_PICLDR") 1, false, false, 4, 1, 4, 1, 0, 0}, // #347
{DBGFIELD("LDRrs") 1, false, false, 4, 1, 22, 1, 0, 0}, // #348
{DBGFIELD("LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB") 1, false, false, 4, 1, 11, 1, 0, 0}, // #349
{DBGFIELD("LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE") 1, false, false, 4, 1, 11, 1, 0, 0}, // #350
{DBGFIELD("SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH") 1, false, false, 1, 1, 2, 1, 0, 0}, // #351
{DBGFIELD("t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH") 1, false, false, 1, 1, 1, 1, 1, 21}, // #352
{DBGFIELD("t2MOVCCi32imm") 2, false, false, 8, 1, 2, 1, 0, 0}, // #353
{DBGFIELD("t2MOVi32imm") 2, false, false, 8, 1, 2, 1, 0, 0}, // #354
{DBGFIELD("t2MOV_ga_pcrel") 3, false, false, 10, 1, 3, 1, 0, 0}, // #355
{DBGFIELD("t2MOVi16_ga_pcrel") 1, false, false, 1, 1, 1, 1, 0, 0}, // #356
{DBGFIELD("t2SEL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #357
{DBGFIELD("t2BFC_t2UBFX_t2SBFX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #358
{DBGFIELD("t2BFI") 1, false, false, 1, 1, 1, 1, 0, 0}, // #359
{DBGFIELD("QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX") 1, false, false, 1, 1, 1, 1, 22, 42}, // #360
{DBGFIELD("SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #361
{DBGFIELD("t2SSAT_t2SSAT16_t2USAT_t2USAT16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #362
{DBGFIELD("SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX") 1, false, false, 1, 1, 1, 1, 22, 42}, // #363
{DBGFIELD("t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #364
{DBGFIELD("SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX") 1, false, false, 1, 1, 1, 1, 22, 42}, // #365
{DBGFIELD("SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH") 1, false, false, 1, 1, 3, 1, 0, 0}, // #366
{DBGFIELD("t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #367
{DBGFIELD("t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH") 1, false, false, 1, 1, 1, 1, 1, 21}, // #368
{DBGFIELD("USAD8") 1, false, false, 1, 1, 1, 1, 22, 42}, // #369
{DBGFIELD("USADA8") 1, false, false, 1, 1, 1, 1, 22, 42}, // #370
{DBGFIELD("SMUSD_SMUSDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #371
{DBGFIELD("t2MUL_t2SMMUL_t2SMMULR") 1, false, false, 8, 2, 9, 1, 64, 2}, // #372
{DBGFIELD("t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT") 1, false, false, 19, 2, 3, 1, 64, 2}, // #373
{DBGFIELD("t2SMUSD_t2SMUSDX") 1, false, false, 8, 2, 9, 1, 64, 3}, // #374
{DBGFIELD("t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR") 1, false, false, 8, 2, 9, 1, 64, 3}, // #375
{DBGFIELD("t2SMUAD_t2SMUADX") 1, false, false, 8, 2, 9, 1, 64, 3}, // #376
{DBGFIELD("SMLSD_SMLSDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #377
{DBGFIELD("t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT") 1, false, false, 19, 2, 3, 1, 64, 3}, // #378
{DBGFIELD("t2SMLSD_t2SMLSDX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #379
{DBGFIELD("t2SMLAD_t2SMLADX") 1, false, false, 15, 2, 15, 2, 0, 0}, // #380
{DBGFIELD("SMULL") 1, false, false, 15, 2, 15, 2, 0, 0}, // #381
{DBGFIELD("t2SMULL_t2UMULL") 1, false, false, 15, 2, 15, 2, 64, 2}, // #382
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL") 1, false, false, 15, 2, 15, 2, 67, 4}, // #383
{DBGFIELD("SDIV_UDIV_t2SDIV_t2UDIV") 1, false, false, 0, 0, 0, 1, 0, 0}, // #384
{DBGFIELD("LDRi12") 1, false, false, 4, 1, 4, 1, 0, 0}, // #385
{DBGFIELD("LDRBi12") 1, false, false, 4, 1, 11, 1, 0, 0}, // #386
{DBGFIELD("LDRBrs") 1, false, false, 13, 2, 17, 2, 0, 0}, // #387
{DBGFIELD("t2LDRpci_pic") 2, false, false, 5, 3, 6, 3, 0, 0}, // #388
{DBGFIELD("t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi") 1, false, false, 4, 1, 4, 1, 0, 0}, // #389
{DBGFIELD("t2LDRs") 1, false, false, 4, 1, 4, 1, 0, 0}, // #390
{DBGFIELD("t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi") 1, false, false, 4, 1, 4, 1, 0, 0}, // #391
{DBGFIELD("t2LDRBs_t2LDRHs") 1, false, false, 4, 1, 4, 1, 0, 0}, // #392
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #393
{DBGFIELD("tLDRBr_tLDRHr") 1, false, false, 4, 1, 4, 1, 0, 0}, // #394
{DBGFIELD("tLDRr") 1, false, false, 4, 1, 4, 1, 0, 0}, // #395
{DBGFIELD("LDRH_PICLDRB_PICLDRH") 1, false, false, 4, 1, 11, 1, 0, 0}, // #396
{DBGFIELD("LDRcp") 1, false, false, 3, 2, 4, 2, 0, 0}, // #397
{DBGFIELD("t2LDRSBpcrel_t2LDRSHpcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #398
{DBGFIELD("t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci") 1, false, false, 4, 1, 4, 1, 0, 0}, // #399
{DBGFIELD("t2LDRSBs_t2LDRSHs") 1, false, false, 4, 1, 4, 1, 0, 0}, // #400
{DBGFIELD("tLDRSB_tLDRSH") 1, false, false, 4, 1, 4, 1, 0, 0}, // #401
{DBGFIELD("LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG") 1, false, false, 13, 2, 11, 2, 0, 0}, // #402
{DBGFIELD("LDRB_POST_IMM_LDRB_PRE_IMM") 1, false, false, 13, 2, 11, 2, 0, 0}, // #403
{DBGFIELD("LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG") 1, false, false, 3, 2, 4, 2, 0, 0}, // #404
{DBGFIELD("LDR_POST_IMM_LDR_PRE_IMM") 1, false, false, 3, 2, 4, 2, 0, 0}, // #405
{DBGFIELD("LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr") 1, false, false, 4, 1, 11, 1, 0, 0}, // #406
{DBGFIELD("t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #407
{DBGFIELD("t2LDR_POST_t2LDR_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #408
{DBGFIELD("t2LDRBT_t2LDRHT") 1, false, false, 4, 1, 4, 1, 0, 0}, // #409
{DBGFIELD("t2LDRT") 1, false, false, 4, 1, 4, 1, 0, 0}, // #410
{DBGFIELD("t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #411
{DBGFIELD("t2LDRSBT_t2LDRSHT") 1, false, false, 4, 1, 4, 1, 0, 0}, // #412
{DBGFIELD("t2LDRDi8") 1, false, false, 4, 1, 4, 1, 0, 0}, // #413
{DBGFIELD("LDRD") 1, false, false, 3, 2, 19, 3, 0, 0}, // #414
{DBGFIELD("LDRD_POST_LDRD_PRE") 1, false, false, 3, 2, 19, 3, 0, 0}, // #415
{DBGFIELD("t2LDRD_POST_t2LDRD_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #416
{DBGFIELD("LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #417
{DBGFIELD("LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #418
{DBGFIELD("LDMIA_RET_t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #419
{DBGFIELD("tPOP_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #420
{DBGFIELD("tPOP") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #421
{DBGFIELD("PICSTR_STRi12") 1, false, false, 3, 2, 7, 2, 0, 0}, // #422
{DBGFIELD("PICSTRB_PICSTRH_STRBi12_STRH") 1, false, false, 13, 2, 13, 2, 0, 0}, // #423
{DBGFIELD("STRrs") 1, false, false, 13, 2, 13, 2, 0, 0}, // #424
{DBGFIELD("STRBrs") 1, false, false, 21, 2, 24, 2, 0, 0}, // #425
{DBGFIELD("STREX_STREXB_STREXD_STREXH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #426
{DBGFIELD("t2STRi12_t2STRi8_tSTRi_tSTRspi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #427
{DBGFIELD("t2STRs") 1, false, false, 4, 1, 1, 1, 0, 0}, // #428
{DBGFIELD("t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #429
{DBGFIELD("t2STRBs_t2STRHs") 1, false, false, 4, 1, 1, 1, 0, 0}, // #430
{DBGFIELD("tSTRBr_tSTRHr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #431
{DBGFIELD("tSTRr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #432
{DBGFIELD("STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr") 1, false, false, 13, 2, 13, 2, 0, 0}, // #433
{DBGFIELD("STRB_POST_IMM_STRB_PRE_IMM") 1, false, false, 13, 2, 13, 2, 0, 0}, // #434
{DBGFIELD("STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx") 1, false, false, 3, 2, 7, 2, 0, 0}, // #435
{DBGFIELD("STR_POST_IMM_STR_PRE_IMM") 1, false, false, 3, 2, 7, 2, 0, 0}, // #436
{DBGFIELD("STRBT_POST_STRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #437
{DBGFIELD("t2STR_POST_t2STR_PRE_t2STRH_PRE") 1, false, false, 4, 1, 1, 1, 0, 0}, // #438
{DBGFIELD("t2STRB_POST_t2STRB_PRE_t2STRH_POST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #439
{DBGFIELD("t2STR_preidx_t2STRB_preidx_t2STRH_preidx") 1, false, false, 4, 1, 1, 1, 0, 0}, // #440
{DBGFIELD("t2STRBT_t2STRHT") 1, false, false, 4, 1, 1, 1, 0, 0}, // #441
{DBGFIELD("t2STRT") 1, false, false, 4, 1, 1, 1, 0, 0}, // #442
{DBGFIELD("STRD") 1, false, false, 3, 2, 7, 2, 0, 0}, // #443
{DBGFIELD("t2STRDi8") 1, false, false, 4, 1, 1, 1, 0, 0}, // #444
{DBGFIELD("t2STRD_POST_t2STRD_PRE") 1, false, false, 4, 1, 1, 1, 0, 0}, // #445
{DBGFIELD("STRD_POST_STRD_PRE") 1, false, false, 3, 2, 7, 2, 0, 0}, // #446
{DBGFIELD("STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #447
{DBGFIELD("STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #448
{DBGFIELD("tPUSH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #449
{DBGFIELD("LDRLIT_ga_abs_tLDRLIT_ga_abs") 1, false, false, 3, 2, 4, 2, 0, 0}, // #450
{DBGFIELD("LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel") 2, false, false, 5, 3, 6, 3, 0, 0}, // #451
{DBGFIELD("LDRLIT_ga_pcrel_ldr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #452
{DBGFIELD("t2IT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #453
{DBGFIELD("ITasm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #454
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq") 1, false, false, 17, 2, 3, 1, 74, 2}, // #455
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd") 1, false, false, 17, 2, 3, 1, 74, 2}, // #456
{DBGFIELD("VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16") 1, false, false, 17, 2, 3, 1, 73, 1}, // #457
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16") 1, false, false, 17, 2, 3, 1, 73, 1}, // #458
{DBGFIELD("VNEGf32q") 1, false, false, 17, 2, 26, 1, 73, 1}, // #459
{DBGFIELD("VNEGfd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #460
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #461
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #462
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16") 1, false, false, 17, 2, 9, 1, 74, 2}, // #463
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #464
{DBGFIELD("VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #465
{DBGFIELD("VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #466
{DBGFIELD("VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #467
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #468
{DBGFIELD("VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #469
{DBGFIELD("VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 17, 2, 3, 1, 73, 1}, // #470
{DBGFIELD("VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 23, 2, 9, 1, 71, 1}, // #471
{DBGFIELD("VEXTd16_VEXTd32_VEXTd8") 2, false, false, 25, 2, 12, 2, 0, 0}, // #472
{DBGFIELD("VEXTq16_VEXTq32_VEXTq64_VEXTq8") 2, false, false, 25, 2, 31, 2, 72, 1}, // #473
{DBGFIELD("VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8") 1, false, false, 17, 2, 2, 1, 0, 0}, // #474
{DBGFIELD("VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8") 1, false, false, 17, 2, 2, 1, 0, 0}, // #475
{DBGFIELD("VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 17, 2, 26, 1, 71, 2}, // #476
{DBGFIELD("VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16") 1, false, false, 17, 2, 26, 1, 71, 2}, // #477
{DBGFIELD("VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16") 1, false, false, 17, 2, 26, 1, 71, 1}, // #478
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8") 1, false, false, 17, 2, 26, 1, 71, 1}, // #479
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #480
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #481
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #482
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #483
{DBGFIELD("VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #484
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #485
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #486
{DBGFIELD("VABSfd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #487
{DBGFIELD("VABSfq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #488
{DBGFIELD("VABSv16i8_VABSv4i32_VABSv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #489
{DBGFIELD("VABSv2i32_VABSv4i16_VABSv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #490
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #491
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #492
{DBGFIELD("VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16") 1, false, false, 17, 2, 9, 1, 74, 2}, // #493
{DBGFIELD("VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #494
{DBGFIELD("VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #495
{DBGFIELD("VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #496
{DBGFIELD("VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #497
{DBGFIELD("VSHRNv2i32_VSHRNv4i16_VSHRNv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #498
{DBGFIELD("VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #499
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #500
{DBGFIELD("VTBL1") 1, false, false, 17, 2, 3, 1, 73, 1}, // #501
{DBGFIELD("VTBX1") 1, false, false, 17, 2, 3, 1, 72, 1}, // #502
{DBGFIELD("VTBL2") 1, false, false, 17, 2, 3, 1, 74, 2}, // #503
{DBGFIELD("VTBX2") 1, false, false, 17, 2, 3, 1, 77, 2}, // #504
{DBGFIELD("VTBL3_VTBL3Pseudo") 1, false, false, 17, 2, 9, 1, 79, 3}, // #505
{DBGFIELD("VTBX3_VTBX3Pseudo") 1, false, false, 17, 2, 9, 1, 86, 3}, // #506
{DBGFIELD("VTBL4_VTBL4Pseudo") 1, false, false, 17, 2, 9, 1, 82, 4}, // #507
{DBGFIELD("VTBX4_VTBX4Pseudo") 1, false, false, 17, 2, 9, 1, 89, 4}, // #508
{DBGFIELD("VSWPd_VSWPq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #509
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8") 2, false, false, 25, 2, 12, 2, 0, 0}, // #510
{DBGFIELD("VTRNq16_VTRNq32_VTRNq8") 2, false, false, 25, 2, 12, 2, 0, 0}, // #511
{DBGFIELD("VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8") 2, false, false, 25, 2, 31, 2, 72, 1}, // #512
{DBGFIELD("VABSD_VNEGD") 1, false, false, 17, 2, 1, 1, 0, 0}, // #513
{DBGFIELD("VABSS_VNEGS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #514
{DBGFIELD("VCMPD_VCMPZD_VCMPED_VCMPEZD") 1, false, false, 17, 2, 1, 1, 0, 0}, // #515
{DBGFIELD("VCMPS_VCMPZS_VCMPES_VCMPEZS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #516
{DBGFIELD("VADDS_VSUBS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #517
{DBGFIELD("VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #518
{DBGFIELD("VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #519
{DBGFIELD("VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #520
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #521
{DBGFIELD("VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #522
{DBGFIELD("VADDD_VSUBD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #523
{DBGFIELD("VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd") 1, false, false, 17, 2, 27, 1, 74, 2}, // #524
{DBGFIELD("VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq") 1, false, false, 17, 2, 28, 1, 74, 2}, // #525
{DBGFIELD("VMULS_VNMULS") 1, false, false, 17, 2, 16, 1, 64, 2}, // #526
{DBGFIELD("VMULfd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #527
{DBGFIELD("VMULfq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #528
{DBGFIELD("VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32") 1, false, false, 17, 2, 26, 1, 74, 2}, // #529
{DBGFIELD("VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 17, 2, 40, 1, 74, 2}, // #530
{DBGFIELD("VMULslfd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #531
{DBGFIELD("VMULslfq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #532
{DBGFIELD("VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64") 1, false, false, 23, 2, 40, 1, 73, 1}, // #533
{DBGFIELD("VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 1, false, false, 23, 2, 27, 1, 73, 1}, // #534
{DBGFIELD("VMULLp64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #535
{DBGFIELD("VMLAD_VMLSD_VNMLAD_VNMLSD") 1, false, false, 17, 2, 27, 1, 64, 3}, // #536
{DBGFIELD("VMLAH_VMLSH_VNMLAH_VNMLSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #537
{DBGFIELD("VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 1, false, false, 23, 2, 40, 1, 71, 2}, // #538
{DBGFIELD("VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 1, false, false, 17, 2, 26, 1, 76, 3}, // #539
{DBGFIELD("VMLAS_VMLSS_VNMLAS_VNMLSS") 1, false, false, 17, 2, 33, 1, 64, 3}, // #540
{DBGFIELD("VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd") 1, false, false, 17, 2, 27, 1, 71, 2}, // #541
{DBGFIELD("VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq") 1, false, false, 17, 2, 28, 1, 71, 2}, // #542
{DBGFIELD("VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32") 1, false, false, 23, 2, 27, 1, 71, 2}, // #543
{DBGFIELD("VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16") 1, false, false, 17, 2, 40, 1, 76, 3}, // #544
{DBGFIELD("VFMAD_VFMSD_VFNMAD_VFNMSD") 1, false, false, 17, 2, 27, 1, 64, 3}, // #545
{DBGFIELD("VFMAS_VFMSS_VFNMAS_VFNMSS") 1, false, false, 17, 2, 33, 1, 64, 3}, // #546
{DBGFIELD("VFNMAH_VFNMSH") 1, false, false, 17, 2, 33, 1, 64, 3}, // #547
{DBGFIELD("VFMAfd_VFMSfd") 1, false, false, 17, 2, 27, 1, 71, 2}, // #548
{DBGFIELD("VFMAfq_VFMSfq") 1, false, false, 17, 2, 28, 1, 71, 2}, // #549
{DBGFIELD("VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #550
{DBGFIELD("VCVTBHD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #551
{DBGFIELD("VCVTBHS_VCVTTHS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #552
{DBGFIELD("VCVTBSH_VCVTTSH") 1, false, false, 17, 2, 9, 1, 0, 0}, // #553
{DBGFIELD("VCVTDS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #554
{DBGFIELD("VCVTSD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #555
{DBGFIELD("VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #556
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #557
{DBGFIELD("VSITOD_VUITOD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #558
{DBGFIELD("VSITOH_VUITOH") 1, false, false, 17, 2, 9, 1, 0, 0}, // #559
{DBGFIELD("VSITOS_VUITOS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #560
{DBGFIELD("VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD") 1, false, false, 17, 2, 9, 1, 0, 0}, // #561
{DBGFIELD("VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH") 1, false, false, 17, 2, 9, 1, 0, 0}, // #562
{DBGFIELD("VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #563
{DBGFIELD("VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #564
{DBGFIELD("VMOVD_VMOVDcc_FCONSTD") 1, false, false, 17, 2, 1, 1, 0, 0}, // #565
{DBGFIELD("VMOVS_VMOVScc_FCONSTS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #566
{DBGFIELD("VMVNd_VMVNq") 1, false, false, 17, 2, 3, 1, 73, 1}, // #567
{DBGFIELD("VMOVNv2i32_VMOVNv4i16_VMOVNv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #568
{DBGFIELD("VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #569
{DBGFIELD("VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #570
{DBGFIELD("VDUPLN16d_VDUPLN32d_VDUPLN8d") 1, false, false, 17, 2, 2, 1, 0, 0}, // #571
{DBGFIELD("VDUPLN16q_VDUPLN32q_VDUPLN8q") 1, false, false, 17, 2, 2, 1, 0, 0}, // #572
{DBGFIELD("VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q") 1, false, false, 17, 2, 1, 1, 0, 0}, // #573
{DBGFIELD("VMOVRS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #574
{DBGFIELD("VMOVSR") 1, false, false, 17, 2, 1, 1, 0, 0}, // #575
{DBGFIELD("VSETLNi16_VSETLNi32_VSETLNi8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #576
{DBGFIELD("VMOVRRD_VMOVRRS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #577
{DBGFIELD("VMOVDRR") 1, false, false, 17, 2, 1, 1, 0, 0}, // #578
{DBGFIELD("VMOVSRR") 1, false, false, 17, 2, 1, 1, 0, 0}, // #579
{DBGFIELD("VGETLNi32_VGETLNu16_VGETLNu8") 1, false, false, 17, 2, 2, 1, 0, 0}, // #580
{DBGFIELD("VGETLNs16_VGETLNs8") 1, false, false, 17, 2, 2, 1, 0, 0}, // #581
{DBGFIELD("VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR") 1, false, false, 17, 2, 1, 1, 0, 0}, // #582
{DBGFIELD("VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR") 1, false, false, 17, 2, 1, 1, 0, 0}, // #583
{DBGFIELD("FMSTAT") 1, false, false, 17, 2, 1, 1, 0, 0}, // #584
{DBGFIELD("VLDRD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #585
{DBGFIELD("VLDRS") 1, false, false, 39, 3, 7, 2, 0, 0}, // #586
{DBGFIELD("VSTRD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #587
{DBGFIELD("VSTRS") 1, false, false, 39, 3, 7, 2, 0, 0}, // #588
{DBGFIELD("VLDMQIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #589
{DBGFIELD("VSTMQIA") 1, false, false, 39, 3, 7, 2, 0, 0}, // #590
{DBGFIELD("VLDMDIA_VLDMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #592
{DBGFIELD("VSTMDIA_VSTMSIA") 1, false, false, 39, 3, 7, 2, 0, 0}, // #593
{DBGFIELD("VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #594
{DBGFIELD("VLD1d16_VLD1d32_VLD1d64_VLD1d8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #595
{DBGFIELD("VLD1q16_VLD1q32_VLD1q64_VLD1q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #596
{DBGFIELD("VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #597
{DBGFIELD("VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #598
{DBGFIELD("VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #599
{DBGFIELD("VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #600
{DBGFIELD("VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #601
{DBGFIELD("VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #602
{DBGFIELD("VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #603
{DBGFIELD("VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #604
{DBGFIELD("VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #605
{DBGFIELD("VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #606
{DBGFIELD("VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #607
{DBGFIELD("VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #608
{DBGFIELD("VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #609
{DBGFIELD("VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #610
{DBGFIELD("VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #611
{DBGFIELD("VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #612
{DBGFIELD("VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #613
{DBGFIELD("VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #614
{DBGFIELD("VLD1DUPd16_VLD1DUPd32_VLD1DUPd8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #615
{DBGFIELD("VLD1DUPq16_VLD1DUPq32_VLD1DUPq8") 1, false, false, 27, 3, 34, 2, 0, 0}, // #616
{DBGFIELD("VLD1LNd16_VLD1LNd8") 1, false, false, 30, 3, 36, 2, 0, 0}, // #617
{DBGFIELD("VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #618
{DBGFIELD("VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register") 1, false, false, 27, 3, 34, 2, 0, 0}, // #619
{DBGFIELD("VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed") 1, false, false, 0, 0, 1, 1, 0, 0}, // #620
{DBGFIELD("VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #621
{DBGFIELD("VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2") 1, false, false, 27, 3, 34, 2, 0, 0}, // #622
{DBGFIELD("VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #623
{DBGFIELD("VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD") 1, false, false, 30, 3, 36, 2, 0, 0}, // #624
{DBGFIELD("VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #625
{DBGFIELD("VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #626
{DBGFIELD("VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #627
{DBGFIELD("VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #628
{DBGFIELD("VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #629
{DBGFIELD("VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #630
{DBGFIELD("VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #631
{DBGFIELD("VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #632
{DBGFIELD("VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8") 1, false, false, 33, 3, 12, 2, 0, 0}, // #633
{DBGFIELD("VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #634
{DBGFIELD("VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #635
{DBGFIELD("VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #636
{DBGFIELD("VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD") 1, false, false, 36, 3, 38, 2, 0, 0}, // #637
{DBGFIELD("VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #638
{DBGFIELD("VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #639
{DBGFIELD("VST1d16_VST1d32_VST1d64_VST1d8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #640
{DBGFIELD("VST1q16_VST1q32_VST1q64_VST1q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #641
{DBGFIELD("VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #642
{DBGFIELD("VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #643
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #644
{DBGFIELD("VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #645
{DBGFIELD("VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #646
{DBGFIELD("VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #647
{DBGFIELD("VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #648
{DBGFIELD("VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #649
{DBGFIELD("VST2b16_VST2b32_VST2b8") 1, false, false, 39, 3, 7, 2, 0, 0}, // #650
{DBGFIELD("VST2d16_VST2d32_VST2d8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #651
{DBGFIELD("VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #652
{DBGFIELD("VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #653
{DBGFIELD("VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #654
{DBGFIELD("VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #655
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #656
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #657
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #658
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #659
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #660
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #661
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #662
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #663
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #664
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #665
{DBGFIELD("VST3LNq16Pseudo_VST3LNq32Pseudo") 1, false, false, 33, 3, 12, 2, 0, 0}, // #666
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD") 1, false, false, 33, 3, 12, 2, 0, 0}, // #667
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #668
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #669
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD") 1, false, false, 33, 3, 12, 2, 0, 0}, // #670
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #671
{DBGFIELD("VDIVS") 1, false, false, 17, 2, 30, 1, 0, 0}, // #672
{DBGFIELD("VSQRTS") 1, false, false, 17, 2, 42, 1, 0, 0}, // #673
{DBGFIELD("VDIVD") 1, false, false, 17, 2, 29, 1, 0, 0}, // #674
{DBGFIELD("VSQRTD") 1, false, false, 17, 2, 41, 1, 0, 0}, // #675
{DBGFIELD("ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #676
{DBGFIELD("COPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #677
{DBGFIELD("t2MOVCCi_t2MOVCCi16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #678
{DBGFIELD("t2MOVi_t2MOVi16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #679
{DBGFIELD("t2ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #680
{DBGFIELD("t2USAD8_t2USADA8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #681
{DBGFIELD("t2SDIV_t2UDIV") 1, false, false, 0, 0, 0, 1, 0, 0}, // #682
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH") 1, false, false, 4, 1, 4, 1, 0, 0}, // #683
{DBGFIELD("LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #684
{DBGFIELD("LDRBT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #685
{DBGFIELD("MOVsr") 1, false, false, 1, 1, 2, 1, 0, 0}, // #686
{DBGFIELD("t2MOVSsr_t2MOVsr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #687
{DBGFIELD("t2MOVsra_flag_t2MOVsrl_flag") 1, false, false, 1, 1, 1, 1, 0, 0}, // #688
{DBGFIELD("MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #689
{DBGFIELD("ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri") 1, false, false, 1, 1, 1, 1, 1, 21}, // #690
{DBGFIELD("CLZ_t2CLZ") 1, false, false, 1, 1, 1, 1, 0, 0}, // #691
{DBGFIELD("t2ANDri_t2BICri_t2EORri_t2ORRri") 1, false, false, 1, 1, 1, 1, 1, 21}, // #692
{DBGFIELD("t2MVNCCi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #693
{DBGFIELD("t2MVNi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #694
{DBGFIELD("t2MVNr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #695
{DBGFIELD("t2MVNs") 1, false, false, 1, 1, 1, 1, 0, 0}, // #696
{DBGFIELD("ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr") 1, false, false, 1, 1, 1, 1, 22, 42}, // #697
{DBGFIELD("CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #698
{DBGFIELD("t2ANDrr_t2BICrr_t2EORrr") 1, false, false, 1, 1, 1, 1, 22, 42}, // #699
{DBGFIELD("ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi") 1, false, false, 1, 1, 2, 1, 1, 21}, // #700
{DBGFIELD("t2ADDSrs") 1, false, false, 1, 1, 2, 1, 1, 21}, // #701
{DBGFIELD("t2ADCrs_t2ADDrs_t2SBCrs") 1, false, false, 1, 1, 2, 1, 1, 21}, // #702
{DBGFIELD("t2ANDrs_t2BICrs_t2EORrs_t2ORRrs") 1, false, false, 1, 1, 2, 1, 1, 21}, // #703
{DBGFIELD("t2RSBrs") 1, false, false, 1, 1, 2, 1, 1, 21}, // #704
{DBGFIELD("ADDSrsr") 1, false, false, 1, 1, 3, 1, 1, 21}, // #705
{DBGFIELD("ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr") 1, false, false, 1, 1, 3, 1, 1, 21}, // #706
{DBGFIELD("ADR") 1, false, false, 1, 1, 1, 1, 1, 21}, // #707
{DBGFIELD("MVNi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #708
{DBGFIELD("MVNsi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #709
{DBGFIELD("t2MOVSsi_t2MOVsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #710
{DBGFIELD("ASRi_RORi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #711
{DBGFIELD("ASRr_RORr_LSRi_LSRr_LSLi_LSLr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #712
{DBGFIELD("CMPri_CMNri") 1, false, false, 1, 1, 1, 1, 1, 21}, // #713
{DBGFIELD("CMPrr_CMNzrr") 1, false, false, 1, 1, 1, 1, 22, 42}, // #714
{DBGFIELD("CMPrsi_CMNzrsi") 1, false, false, 1, 1, 1, 1, 1, 21}, // #715
{DBGFIELD("CMPrsr_CMNzrsr") 1, false, false, 1, 1, 1, 1, 1, 21}, // #716
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #717
{DBGFIELD("RBIT_REV_REV16_REVSH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #718
{DBGFIELD("RRX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #719
{DBGFIELD("TSTri") 1, false, false, 1, 1, 1, 1, 1, 21}, // #720
{DBGFIELD("TSTrr") 1, false, false, 1, 1, 1, 1, 22, 42}, // #721
{DBGFIELD("TSTrsi") 1, false, false, 1, 1, 1, 1, 1, 21}, // #722
{DBGFIELD("TSTrsr") 1, false, false, 1, 1, 1, 1, 1, 21}, // #723
{DBGFIELD("MRS_MRSbanked_MRSsys") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("MSR_MSRbanked_MSRi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #726
{DBGFIELD("t2STREX_t2STREXB_t2STREXD_t2STREXH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #727
{DBGFIELD("STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #728
{DBGFIELD("t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #729
{DBGFIELD("VABDfd_VABDhd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #730
{DBGFIELD("VABDfq_VABDhq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #731
{DBGFIELD("VABSD") 1, false, false, 17, 2, 1, 1, 0, 0}, // #732
{DBGFIELD("VABSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #733
{DBGFIELD("VABSS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #734
{DBGFIELD("VABShd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #735
{DBGFIELD("VABShq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #736
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #737
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #738
{DBGFIELD("VADDH_VSUBH") 1, false, false, 17, 2, 9, 1, 0, 0}, // #739
{DBGFIELD("VADDfd_VSUBfd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #740
{DBGFIELD("VADDhd_VSUBhd") 1, false, false, 17, 2, 16, 1, 74, 2}, // #741
{DBGFIELD("VADDfq_VSUBfq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #742
{DBGFIELD("VADDhq_VSUBhq") 1, false, false, 17, 2, 26, 1, 74, 2}, // #743
{DBGFIELD("VLDRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #744
{DBGFIELD("VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre") 1, false, false, 17, 2, 1, 1, 0, 0}, // #745
{DBGFIELD("VSTRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #746
{DBGFIELD("VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre") 1, false, false, 17, 2, 1, 1, 0, 0}, // #747
{DBGFIELD("VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 17, 2, 26, 1, 71, 2}, // #748
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #749
{DBGFIELD("VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #750
{DBGFIELD("VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #751
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8") 1, false, false, 17, 2, 3, 1, 74, 2}, // #752
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8") 1, false, false, 17, 2, 3, 1, 73, 1}, // #753
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16") 1, false, false, 17, 2, 3, 1, 74, 2}, // #754
{DBGFIELD("VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #755
{DBGFIELD("VANDd_VBICd_VEORd") 1, false, false, 17, 2, 3, 1, 74, 2}, // #756
{DBGFIELD("VANDq_VBICq_VEORq") 1, false, false, 17, 2, 3, 1, 74, 2}, // #757
{DBGFIELD("VBICiv2i32_VBICiv4i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #758
{DBGFIELD("VBICiv4i32_VBICiv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #759
{DBGFIELD("VBIFd_VBITd") 1, false, false, 17, 2, 3, 1, 74, 2}, // #760
{DBGFIELD("VBSLd") 1, false, false, 17, 2, 3, 1, 73, 1}, // #761
{DBGFIELD("VBIFq_VBITq") 1, false, false, 17, 2, 3, 1, 74, 2}, // #762
{DBGFIELD("VBSLq") 1, false, false, 23, 2, 9, 1, 71, 1}, // #763
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #764
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #765
{DBGFIELD("VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 23, 2, 9, 1, 71, 1}, // #766
{DBGFIELD("VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 17, 2, 3, 1, 73, 1}, // #767
{DBGFIELD("VCMPEH_VCMPEZH_VCMPH_VCMPZH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("VDUP16d_VDUP32d_VDUP8d") 1, false, false, 17, 2, 1, 1, 0, 0}, // #769
{DBGFIELD("VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("VFMAhd_VFMShd") 1, false, false, 17, 2, 27, 1, 71, 2}, // #771
{DBGFIELD("VFMAhq_VFMShq") 1, false, false, 17, 2, 28, 1, 71, 2}, // #772
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #773
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16") 1, false, false, 17, 2, 9, 1, 74, 2}, // #774
{DBGFIELD("VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 17, 2, 9, 1, 73, 1}, // #775
{DBGFIELD("VPMAXf_VPMAXh_VPMINf_VPMINh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #776
{DBGFIELD("VNEGH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #777
{DBGFIELD("VNEGhd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #778
{DBGFIELD("VNEGhq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #779
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d") 1, false, false, 17, 2, 3, 1, 0, 0}, // #780
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q") 1, false, false, 17, 2, 3, 1, 0, 0}, // #781
{DBGFIELD("VPADDi16_VPADDi32_VPADDi8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #782
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8") 1, false, false, 17, 2, 26, 1, 71, 1}, // #783
{DBGFIELD("VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #784
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #785
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #786
{DBGFIELD("VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 1, false, false, 23, 2, 40, 1, 71, 2}, // #787
{DBGFIELD("VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 1, false, false, 17, 2, 26, 1, 76, 3}, // #788
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 23, 2, 40, 1, 73, 1}, // #789
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 17, 2, 26, 1, 74, 2}, // #790
{DBGFIELD("VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 1, false, false, 23, 2, 27, 1, 73, 1}, // #791
{DBGFIELD("VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 17, 2, 40, 1, 74, 2}, // #792
{DBGFIELD("VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #793
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16") 1, false, false, 17, 2, 9, 1, 0, 0}, // #794
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #795
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #796
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T") 1, false, false, 0, 0, 1, 1, 0, 0}, // #797
{DBGFIELD("VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q") 1, false, false, 0, 0, 1, 1, 0, 0}, // #798
{DBGFIELD("VST1d64QPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #799
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #800
{DBGFIELD("VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #801
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #802
{DBGFIELD("VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #803
{DBGFIELD("VST2q16_VST2q32_VST2q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #804
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #805
{DBGFIELD("VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #806
{DBGFIELD("VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #807
{DBGFIELD("VST2LNq16_VST2LNq32") 1, false, false, 0, 0, 1, 1, 0, 0}, // #808
{DBGFIELD("VST2LNqAsm_16_VST2LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #809
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #810
{DBGFIELD("VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #811
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #812
{DBGFIELD("VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #813
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #814
{DBGFIELD("VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #815
{DBGFIELD("VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #816
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #817
{DBGFIELD("VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #818
{DBGFIELD("VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #819
{DBGFIELD("VST3LNqAsm_16_VST3LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #820
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #821
{DBGFIELD("VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #822
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD") 1, false, false, 33, 3, 12, 2, 0, 0}, // #823
{DBGFIELD("VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #824
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #825
{DBGFIELD("VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #826
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #827
{DBGFIELD("VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #828
{DBGFIELD("VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #829
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #830
{DBGFIELD("VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #831
{DBGFIELD("VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #832
{DBGFIELD("VST4LNq16_VST4LNq32") 1, false, false, 0, 0, 1, 1, 0, 0}, // #833
{DBGFIELD("VST4LNqAsm_16_VST4LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #835
{DBGFIELD("VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #836
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD") 1, false, false, 33, 3, 12, 2, 0, 0}, // #837
{DBGFIELD("VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #838
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #839
{DBGFIELD("VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #840
{DBGFIELD("BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #841
{DBGFIELD("t2HVC_tTRAP_SVC_tSVC") 1, false, false, 2, 1, 1, 1, 0, 0}, // #842
{DBGFIELD("t2UDF_tUDF_t__brkdiv0") 1, false, false, 2, 1, 1, 1, 0, 0}, // #843
{DBGFIELD("LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #844
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #845
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #846
{DBGFIELD("MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #847
{DBGFIELD("FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #848
{DBGFIELD("ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #849
{DBGFIELD("SUBS_PC_LR") 1, false, false, 2, 1, 1, 1, 0, 0}, // #850
{DBGFIELD("B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ") 1, false, false, 2, 1, 1, 1, 0, 0}, // #851
{DBGFIELD("BXJ") 1, false, false, 2, 1, 1, 1, 0, 0}, // #852
{DBGFIELD("tBfar") 1, false, false, 2, 1, 1, 1, 0, 0}, // #853
{DBGFIELD("BL_tBL_BL_pred_tBLXi") 1, false, false, 2, 1, 1, 1, 0, 0}, // #854
{DBGFIELD("BLXi") 1, false, false, 2, 1, 1, 1, 0, 0}, // #855
{DBGFIELD("TPsoft_tTPsoft") 1, false, false, 2, 1, 1, 1, 0, 0}, // #856
{DBGFIELD("BLX_BLX_pred_tBLXNSr_tBLXr") 1, false, false, 2, 1, 1, 1, 0, 0}, // #857
{DBGFIELD("BCCi64_BCCZi64") 1, false, false, 2, 1, 1, 1, 0, 0}, // #858
{DBGFIELD("BR_JTadd_tBR_JTr_t2TBB_t2TBH") 1, false, false, 2, 1, 1, 1, 0, 0}, // #859
{DBGFIELD("BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND") 1, false, false, 2, 1, 1, 1, 0, 0}, // #860
{DBGFIELD("t2BXJ") 1, false, false, 2, 1, 1, 1, 0, 0}, // #861
{DBGFIELD("BR_JTm_i12_BR_JTm_rs") 1, false, false, 2, 1, 1, 1, 0, 0}, // #862
{DBGFIELD("tADDframe") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #863
{DBGFIELD("MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #864
{DBGFIELD("MOVr_MOVr_TC_tMOVSr_tMOVr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #865
{DBGFIELD("MVNCCi_MOVCCi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #866
{DBGFIELD("BMOVPCB_CALL_BMOVPCRX_CALL") 1, false, false, 2, 1, 1, 1, 0, 0}, // #867
{DBGFIELD("MOVCCr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #868
{DBGFIELD("tMOVCCr_pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #869
{DBGFIELD("tMVN") 1, false, false, 1, 1, 1, 1, 0, 0}, // #870
{DBGFIELD("MOVCCsi") 1, false, false, 1, 1, 2, 1, 0, 0}, // #871
{DBGFIELD("t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #872
{DBGFIELD("LSRi_LSLi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror") 1, false, false, 1, 1, 1, 1, 0, 0}, // #874
{DBGFIELD("t2MOVCCr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #875
{DBGFIELD("t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #876
{DBGFIELD("t2MOVr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #877
{DBGFIELD("tROR") 1, false, false, 1, 1, 1, 1, 0, 0}, // #878
{DBGFIELD("t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #879
{DBGFIELD("MOVPCRX_MOVPCLR") 1, false, false, 2, 1, 1, 1, 0, 0}, // #880
{DBGFIELD("tMUL") 1, false, false, 8, 2, 9, 1, 64, 2}, // #881
{DBGFIELD("SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8") 1, false, false, 1, 1, 1, 1, 22, 42}, // #882
{DBGFIELD("t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #883
{DBGFIELD("SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8") 1, false, false, 1, 1, 1, 1, 22, 42}, // #884
{DBGFIELD("t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #885
{DBGFIELD("QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8") 1, false, false, 1, 1, 1, 1, 22, 42}, // #886
{DBGFIELD("t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #887
{DBGFIELD("QASX_QSAX_UQASX_UQSAX") 1, false, false, 1, 1, 1, 1, 22, 42}, // #888
{DBGFIELD("t2QASX_t2QSAX_t2UQASX_t2UQSAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #889
{DBGFIELD("SSAT_SSAT16_USAT_USAT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("QADD_QSUB") 1, false, false, 1, 1, 1, 1, 22, 42}, // #891
{DBGFIELD("SBFX_UBFX") 1, false, false, 1, 1, 2, 1, 0, 0}, // #892
{DBGFIELD("t2SBFX_t2UBFX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #893
{DBGFIELD("SXTB_SXTH_UXTB_UXTH") 1, false, false, 1, 1, 2, 1, 0, 0}, // #894
{DBGFIELD("t2SXTB_t2SXTH_t2UXTB_t2UXTH") 1, false, false, 1, 1, 1, 1, 1, 21}, // #895
{DBGFIELD("tSXTB_tSXTH_tUXTB_tUXTH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #896
{DBGFIELD("SXTAB_SXTAH_UXTAB_UXTAH") 1, false, false, 1, 1, 3, 1, 0, 0}, // #897
{DBGFIELD("t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH") 1, false, false, 1, 1, 1, 1, 1, 21}, // #898
{DBGFIELD("LDRConstPool_t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #899
{DBGFIELD("PICLDRB_PICLDRH") 1, false, false, 4, 1, 11, 1, 0, 0}, // #900
{DBGFIELD("PICLDRSB_PICLDRSH") 1, false, false, 4, 1, 11, 1, 0, 0}, // #901
{DBGFIELD("tLDR_postidx") 1, false, false, 3, 2, 7, 2, 0, 0}, // #902
{DBGFIELD("tLDRBi_tLDRHi") 1, false, false, 4, 1, 4, 1, 0, 0}, // #903
{DBGFIELD("tLDRi_tLDRpci_tLDRspi") 1, false, false, 4, 1, 4, 1, 0, 0}, // #904
{DBGFIELD("t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #905
{DBGFIELD("LDR_PRE_IMM") 1, false, false, 3, 2, 4, 2, 0, 0}, // #906
{DBGFIELD("LDRB_PRE_IMM") 1, false, false, 13, 2, 11, 2, 0, 0}, // #907
{DBGFIELD("t2LDRB_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #908
{DBGFIELD("LDR_PRE_REG") 1, false, false, 3, 2, 4, 2, 0, 0}, // #909
{DBGFIELD("LDRB_PRE_REG") 1, false, false, 13, 2, 11, 2, 0, 0}, // #910
{DBGFIELD("LDRH_PRE") 1, false, false, 4, 1, 11, 1, 0, 0}, // #911
{DBGFIELD("LDRSB_PRE_LDRSH_PRE") 1, false, false, 4, 1, 11, 1, 0, 0}, // #912
{DBGFIELD("t2LDRH_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #913
{DBGFIELD("t2LDRSB_PRE_t2LDRSH_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #914
{DBGFIELD("t2LDR_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #915
{DBGFIELD("LDRD_PRE") 1, false, false, 3, 2, 19, 3, 0, 0}, // #916
{DBGFIELD("t2LDRD_PRE") 1, false, false, 4, 1, 4, 1, 0, 0}, // #917
{DBGFIELD("LDRT_POST_IMM") 1, false, false, 3, 2, 4, 2, 0, 0}, // #918
{DBGFIELD("LDRBT_POST_IMM") 1, false, false, 13, 2, 11, 2, 0, 0}, // #919
{DBGFIELD("LDRHTi") 1, false, false, 4, 1, 11, 1, 0, 0}, // #920
{DBGFIELD("LDRSBTi_LDRSHTi") 1, false, false, 4, 1, 11, 1, 0, 0}, // #921
{DBGFIELD("t2LDRB_POST") 1, false, false, 4, 1, 4, 1, 0, 0}, // #922
{DBGFIELD("LDRH_POST") 1, false, false, 4, 1, 11, 1, 0, 0}, // #923
{DBGFIELD("LDRSB_POST_LDRSH_POST") 1, false, false, 4, 1, 11, 1, 0, 0}, // #924
{DBGFIELD("LDR_POST_REG") 1, false, false, 3, 2, 4, 2, 0, 0}, // #925
{DBGFIELD("LDRB_POST_REG") 1, false, false, 13, 2, 11, 2, 0, 0}, // #926
{DBGFIELD("LDRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #927
{DBGFIELD("PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs") 1, false, false, 0, 0, 1, 1, 0, 0}, // #928
{DBGFIELD("PLDrs_PLDWrs") 1, false, false, 0, 0, 1, 1, 0, 0}, // #929
{DBGFIELD("VLLDM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #930
{DBGFIELD("STRBi12_PICSTRB_PICSTRH") 1, false, false, 13, 2, 13, 2, 0, 0}, // #931
{DBGFIELD("t2STRBT") 1, false, false, 4, 1, 1, 1, 0, 0}, // #932
{DBGFIELD("STR_PRE_IMM") 1, false, false, 3, 2, 7, 2, 0, 0}, // #933
{DBGFIELD("STRB_PRE_IMM") 1, false, false, 13, 2, 13, 2, 0, 0}, // #934
{DBGFIELD("STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx") 1, false, false, 3, 2, 7, 2, 0, 0}, // #935
{DBGFIELD("STRH_PRE") 1, false, false, 13, 2, 13, 2, 0, 0}, // #936
{DBGFIELD("t2STRH_PRE_t2STR_PRE") 1, false, false, 4, 1, 1, 1, 0, 0}, // #937
{DBGFIELD("t2STRB_PRE") 1, false, false, 4, 1, 1, 1, 0, 0}, // #938
{DBGFIELD("t2STRD_PRE") 1, false, false, 4, 1, 1, 1, 0, 0}, // #939
{DBGFIELD("STR_PRE_REG") 1, false, false, 3, 2, 7, 2, 0, 0}, // #940
{DBGFIELD("STRB_PRE_REG") 1, false, false, 13, 2, 13, 2, 0, 0}, // #941
{DBGFIELD("STRD_PRE") 1, false, false, 3, 2, 7, 2, 0, 0}, // #942
{DBGFIELD("STRT_POST_IMM") 1, false, false, 3, 2, 7, 2, 0, 0}, // #943
{DBGFIELD("STRBT_POST_IMM") 1, false, false, 13, 2, 13, 2, 0, 0}, // #944
{DBGFIELD("t2STRB_POST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #945
{DBGFIELD("STRBT_POST_REG_STRB_POST_REG") 1, false, false, 13, 2, 13, 2, 0, 0}, // #946
{DBGFIELD("VLSTM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("VTOSLS_VTOUHS_VTOULS") 1, false, false, 17, 2, 9, 1, 0, 0}, // #949
{DBGFIELD("VJCVT") 1, false, false, 17, 2, 9, 1, 0, 0}, // #950
{DBGFIELD("VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("VSQRTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8") 1, false, false, 17, 2, 9, 1, 73, 1}, // #953
{DBGFIELD("VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #954
{DBGFIELD("FCONSTD") 1, false, false, 17, 2, 1, 1, 0, 0}, // #955
{DBGFIELD("FCONSTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("FCONSTS") 1, false, false, 17, 2, 1, 1, 0, 0}, // #957
{DBGFIELD("VMOVHcc_VMOVH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #958
{DBGFIELD("VINSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("VSTMSIA") 1, false, false, 39, 3, 7, 2, 0, 0}, // #960
{DBGFIELD("VSTMSDB_UPD_VSTMSIA_UPD") 1, false, false, 39, 3, 7, 2, 0, 0}, // #961
{DBGFIELD("VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16") 1, false, false, 17, 2, 9, 1, 74, 2}, // #962
{DBGFIELD("VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8") 1, false, false, 17, 2, 9, 1, 74, 2}, // #963
{DBGFIELD("VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #964
{DBGFIELD("VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16") 1, false, false, 17, 2, 26, 1, 74, 2}, // #965
{DBGFIELD("VMULv2i32_VMULslv2i32") 1, false, false, 23, 2, 40, 1, 73, 1}, // #966
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 23, 2, 40, 1, 73, 1}, // #967
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 17, 2, 26, 1, 74, 2}, // #968
{DBGFIELD("VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16") 1, false, false, 17, 2, 40, 1, 74, 2}, // #969
{DBGFIELD("VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32") 1, false, false, 23, 2, 40, 1, 71, 2}, // #970
{DBGFIELD("VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8") 1, false, false, 17, 2, 26, 1, 76, 3}, // #971
{DBGFIELD("VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32") 1, false, false, 23, 2, 40, 1, 71, 2}, // #972
{DBGFIELD("VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16") 1, false, false, 17, 2, 26, 1, 76, 3}, // #973
{DBGFIELD("VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32") 1, false, false, 23, 2, 27, 1, 71, 2}, // #974
{DBGFIELD("VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16") 1, false, false, 17, 2, 40, 1, 76, 3}, // #975
{DBGFIELD("VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16") 1, false, false, 17, 2, 26, 1, 74, 2}, // #976
{DBGFIELD("VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #977
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #978
{DBGFIELD("VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 17, 2, 9, 1, 0, 0}, // #979
{DBGFIELD("VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 17, 2, 3, 1, 0, 0}, // #980
{DBGFIELD("VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 17, 2, 3, 1, 0, 0}, // #981
{DBGFIELD("VPADDh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed") 1, false, false, 17, 2, 27, 1, 71, 2}, // #983
{DBGFIELD("VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed") 1, false, false, 17, 2, 28, 1, 71, 2}, // #984
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #985
{DBGFIELD("VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #986
{DBGFIELD("NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("VMULhd") 1, false, false, 17, 2, 16, 1, 73, 1}, // #988
{DBGFIELD("VMULhq") 1, false, false, 17, 2, 26, 1, 73, 1}, // #989
{DBGFIELD("VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("VMOVD0_VMOVQ0") 1, false, false, 17, 2, 3, 1, 0, 0}, // #991
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8") 2, false, false, 25, 2, 12, 2, 0, 0}, // #992
{DBGFIELD("VLD2d16_VLD2d32_VLD2d8") 1, false, false, 0, 0, 1, 1, 0, 0}, // #993
{DBGFIELD("VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 1, false, false, 0, 0, 1, 1, 0, 0}, // #994
{DBGFIELD("VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #995
{DBGFIELD("VLD3LNd32_UPD_VLD3LNq32_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #996
{DBGFIELD("VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #997
{DBGFIELD("VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #998
{DBGFIELD("VLD4LNd32_UPD_VLD4LNq32_UPD") 1, false, false, 36, 3, 38, 2, 0, 0}, // #999
{DBGFIELD("VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1000
{DBGFIELD("AESD_AESE_AESIMC_AESMC") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("SHA1SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("SHA1H_SHA1SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("SHA1C_SHA1M_SHA1P") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("SHA256SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("SHA256H_SHA256H2_SHA256SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("t2LDMDB_t2LDMIA_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("tLDRLIT_ga_abs") 1, false, false, 3, 2, 4, 2, 0, 0}, // #1011
{DBGFIELD("tLDRLIT_ga_pcrel") 2, false, false, 5, 3, 6, 3, 0, 0}, // #1012
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH") 1, false, false, 4, 1, 4, 1, 0, 0}, // #1013
{DBGFIELD("t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1014
{DBGFIELD("t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("tMOVSr_tMOVr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1016
{DBGFIELD("tMOVi8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1017
{DBGFIELD("t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("t2CLREX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX") 1, false, false, 15, 2, 15, 2, 67, 4}, // #1020
{DBGFIELD("t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1021
{DBGFIELD("t2CDP_t2CDP2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("t2UDF_tUDF") 1, false, false, 2, 1, 1, 1, 0, 0}, // #1026
{DBGFIELD("tBKPT_t2DBG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("VSETLNi32") 1, false, false, 17, 2, 3, 1, 0, 0}, // #1032
{DBGFIELD("VGETLNi32") 1, false, false, 17, 2, 2, 1, 0, 0}, // #1033
{DBGFIELD("VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1035
{DBGFIELD("VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1036
{DBGFIELD("VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1037
{DBGFIELD("VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1038
{DBGFIELD("VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1039
{DBGFIELD("VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1040
{DBGFIELD("VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1041
{DBGFIELD("VMOVD0") 1, false, false, 17, 2, 3, 1, 0, 0}, // #1042
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("A57Write_2cyc_1M_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("SwiftWriteP0ThreeCycleThreeUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("SwiftWriteP01TwoCycle_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("A57Write_2cyc_1I_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("A57Write_2cyc_1M_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB") 1, false, false, 42, 3, 43, 4, 0, 0}, // #1050
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 45, 3, 47, 6, 0, 0}, // #1051
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 48, 3, 53, 8, 0, 0}, // #1052
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 51, 3, 61, 10, 0, 0}, // #1053
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 54, 3, 71, 12, 0, 0}, // #1054
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 57, 3, 83, 14, 0, 0}, // #1055
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 60, 3, 97, 16, 0, 0}, // #1056
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 63, 3, 113, 18, 0, 0}, // #1057
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 1, false, false, 45, 3, 131, 18, 0, 0}, // #1058
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("SwiftWriteP01TwoCycle_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("WriteALU_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("WriteALU_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue") 1, false, false, 3, 2, 149, 4, 0, 0}, // #1066
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 66, 2, 153, 6, 0, 0}, // #1067
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 68, 2, 159, 8, 0, 0}, // #1068
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 70, 2, 167, 10, 0, 0}, // #1069
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 72, 2, 177, 12, 0, 0}, // #1070
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 74, 2, 189, 14, 0, 0}, // #1071
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 76, 2, 203, 16, 0, 0}, // #1072
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 78, 2, 219, 18, 0, 0}, // #1073
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 1, false, false, 66, 2, 237, 18, 0, 0}, // #1074
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("A57Write_2cyc_1I_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue") 1, false, false, 3, 2, 255, 4, 0, 0}, // #1078
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 66, 2, 259, 6, 0, 0}, // #1079
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 68, 2, 265, 8, 0, 0}, // #1080
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 70, 2, 273, 10, 0, 0}, // #1081
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 72, 2, 283, 12, 0, 0}, // #1082
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 74, 2, 295, 14, 0, 0}, // #1083
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 76, 2, 309, 16, 0, 0}, // #1084
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 78, 2, 325, 18, 0, 0}, // #1085
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 1, false, false, 66, 2, 343, 18, 0, 0}, // #1086
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("SwiftWriteP01TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("A57Write_2cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("A57Write_2cyc_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("WriteALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)") 1, false, false, 39, 3, 7, 2, 0, 0}, // #1092
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 33, 3, 12, 2, 0, 0}, // #1093
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 80, 3, 43, 2, 0, 0}, // #1094
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 83, 3, 49, 2, 0, 0}, // #1095
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 86, 3, 57, 2, 0, 0}, // #1096
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 89, 3, 67, 2, 0, 0}, // #1097
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 92, 3, 79, 2, 0, 0}, // #1098
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 1, false, false, 95, 3, 93, 2, 0, 0}, // #1099
{DBGFIELD("A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi") 1, false, false, 39, 3, 45, 3, 0, 0}, // #1100
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)") 2, false, false, 33, 3, 361, 5, 0, 0}, // #1101
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 3, false, false, 80, 3, 366, 7, 0, 0}, // #1102
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 4, false, false, 83, 3, 373, 9, 0, 0}, // #1103
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 5, false, false, 86, 3, 382, 11, 0, 0}, // #1104
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 6, false, false, 89, 3, 393, 13, 0, 0}, // #1105
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 7, false, false, 92, 3, 406, 15, 0, 0}, // #1106
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 8, false, false, 95, 3, 421, 17, 0, 0}, // #1107
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 2, false, false, 33, 3, 438, 17, 0, 0}, // #1108
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp)_A9WriteAdr") 1, false, false, 39, 3, 7, 2, 0, 0}, // #1109
{DBGFIELD("A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr") 1, false, false, 39, 3, 23, 3, 0, 0}, // #1110
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)") 1, false, false, 33, 3, 12, 2, 0, 0}, // #1111
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 80, 3, 43, 2, 0, 0}, // #1112
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 83, 3, 49, 2, 0, 0}, // #1113
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 86, 3, 57, 2, 0, 0}, // #1114
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 89, 3, 67, 2, 0, 0}, // #1115
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 92, 3, 79, 2, 0, 0}, // #1116
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 1, false, false, 95, 3, 93, 2, 0, 0}, // #1117
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 2, false, false, 33, 3, 455, 5, 0, 0}, // #1118
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 3, false, false, 80, 3, 460, 7, 0, 0}, // #1119
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 4, false, false, 83, 3, 467, 9, 0, 0}, // #1120
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 5, false, false, 86, 3, 476, 11, 0, 0}, // #1121
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 6, false, false, 89, 3, 487, 13, 0, 0}, // #1122
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 7, false, false, 92, 3, 500, 15, 0, 0}, // #1123
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 8, false, false, 95, 3, 515, 17, 0, 0}, // #1124
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 2, false, false, 33, 3, 532, 17, 0, 0}, // #1125
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1126
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1127
{DBGFIELD("SwiftWriteP01OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1128
{DBGFIELD("A57Write_1cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1129
{DBGFIELD("(SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1130
{DBGFIELD("SwiftWriteP0OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1131
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1132
{DBGFIELD("SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1133
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1134
{DBGFIELD("SwiftWriteP0FourCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1135
{DBGFIELD("A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1136
{DBGFIELD("A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1137
{DBGFIELD("A57Write_5cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1138
{DBGFIELD("A57Write_3cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1139
{DBGFIELD("A57Write_4cyc_1I_1L_1M_A57WrBackThree") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1140
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1141
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1142
{DBGFIELD("A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1143
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1144
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1145
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1146
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1147
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1148
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1149
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1150
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1151
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1152
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1153
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1154
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1155
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1156
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1157
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1158
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1159
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1160
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1161
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1162
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1163
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1164
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1165
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1166
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1167
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1168
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1169
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1170
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1171
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1172
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1173
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1174
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1175
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1176
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1177
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1178
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1179
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1180
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1181
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1182
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1183
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1184
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1185
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1186
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1187
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1188
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1189
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1190
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1191
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1192
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1193
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1194
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1195
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1196
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1197
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1198
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1199
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1200
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1201
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1202
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1203
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1204
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1205
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1206
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1207
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1208
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1209
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1210
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1211
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1212
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1213
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1214
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1215
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1216
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1217
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1218
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1219
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1220
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1221
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1222
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1223
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1224
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1225
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1226
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1227
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1228
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1229
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1230
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1231
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1232
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1233
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1234
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1235
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1236
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1237
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1238
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1239
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1240
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1241
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1242
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1243
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1244
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1245
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1246
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1247
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1248
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1249
{DBGFIELD("A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1250
{DBGFIELD("A57Write_1cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1251
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1252
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1253
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1254
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1255
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1256
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1257
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1258
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1259
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1260
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1261
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1262
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1263
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1264
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1265
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1266
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1267
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1268
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1269
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1270
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1271
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1272
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1273
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1274
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1275
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1276
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1277
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1278
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1279
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1280
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1281
{DBGFIELD("A57Write_2cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1282
{DBGFIELD("A57Write_3cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1283
{DBGFIELD("A57Write_4cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1284
{DBGFIELD("A57Write_5cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1285
{DBGFIELD("A57Write_6cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1286
{DBGFIELD("A57Write_7cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1287
{DBGFIELD("A57Write_8cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1288
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1289
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1290
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1291
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1292
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1293
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1294
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1295
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1296
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1297
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1298
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1299
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1300
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1301
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1302
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1303
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1304
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1305
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1306
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1307
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1308
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1309
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1310
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1311
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1312
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1313
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1314
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1315
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1316
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1317
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1318
{DBGFIELD("A57WrBackOne_A57Write_1cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1319
{DBGFIELD("A57WrBackOne_A57Write_2cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1320
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1321
{DBGFIELD("A57WrBackOne_A57Write_4cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1322
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1323
{DBGFIELD("A57WrBackOne_A57Write_6cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1324
{DBGFIELD("A57WrBackOne_A57Write_7cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1325
{DBGFIELD("A57WrBackOne_A57Write_8cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1326
{DBGFIELD("A57Write_6cyc_1V_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1327
{DBGFIELD("A57Write_3cyc_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1328
{DBGFIELD("A57Write_4cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1329
{DBGFIELD("A57Write_5cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1330
{DBGFIELD("A57Write_6cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1331
{DBGFIELD("A57Write_4cyc_1W_anonymous_4022") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1332
{DBGFIELD("A57Write_5cyc_1W_anonymous_4024") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1333
{DBGFIELD("A57Write_5cyc_1W_anonymous_4017") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1334
{DBGFIELD("A57Write_6cyc_1W_anonymous_4019") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1335
{DBGFIELD("SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1336
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1337
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1338
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1339
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1340
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1341
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1342
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1343
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1344
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1345
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1346
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1347
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1348
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1349
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1350
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1351
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1352
{DBGFIELD("R52WriteLM5Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1353
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1354
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1355
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1356
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1357
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1358
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1359
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1360
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1361
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1362
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1363
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1364
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1365
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1366
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1367
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1368
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1369
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1370
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1371
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1372
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1373
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1374
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1375
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1376
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1377
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1378
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1379
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1380
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1381
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1382
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1383
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1384
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1385
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1386
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1387
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1388
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1389
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1390
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1391
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1392
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1393
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1394
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1395
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1396
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1397
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1398
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1399
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1400
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1401
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1402
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1403
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1404
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1405
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1406
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1407
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1408
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1409
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1410
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1411
{DBGFIELD("SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1412
{DBGFIELD("A57Write_10cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1413
{DBGFIELD("A57Write_12cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1414
{DBGFIELD("A57Write_14cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1415
{DBGFIELD("A57Write_16cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1416
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1417
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1418
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1419
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1420
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1421
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1422
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1423
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1424
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1425
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1426
{DBGFIELD("A57WrBackOne_A57Write_10cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1427
{DBGFIELD("A57WrBackOne_A57Write_12cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1428
{DBGFIELD("A57WrBackOne_A57Write_14cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1429
{DBGFIELD("A57WrBackOne_A57Write_16cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1430
{DBGFIELD("A57Write_4cyc_1W_anonymous_4027") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1431
{DBGFIELD("A57Write_5cyc_1W_anonymous_4029") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1432
{DBGFIELD("A57Write_4cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1433
{DBGFIELD("A57Write_2cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1434
{DBGFIELD("A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1435
{DBGFIELD("A57Write_4cyc_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1436
{DBGFIELD("A57Write_4cyc_1L_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1437
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1438
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1439
{DBGFIELD("A57WrBackTwo_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1440
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1441
{DBGFIELD("A57WrBackTwo_A57Write_1cyc_1S_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1442
{DBGFIELD("A57Write_4cyc_1W_anonymous_4012") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1443
{DBGFIELD("A57Write_5cyc_1W_anonymous_4014") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1444
}; // CortexA9ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexA57ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("IIC_iALUi_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #1
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 64, 2}, // #2
{DBGFIELD("IIC_iALUsr_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #3
{DBGFIELD("IIC_iALUsr_WriteALUSsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #4
{DBGFIELD("IIC_Br_WriteBr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #5
{DBGFIELD("IIC_Br_WriteBrTbl") 2, false, false, 5, 2, 1, 1, 0, 0}, // #6
{DBGFIELD("IIC_iLoad_mBr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("IIC_iLoad_i") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #8
{DBGFIELD("IIC_iLoadiALU") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #9
{DBGFIELD("IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 18, 1, 549, 1, 93, 4}, // #10
{DBGFIELD("IIC_iCMOVi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #11
{DBGFIELD("IIC_iMOVi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #12
{DBGFIELD("IIC_iCMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #13
{DBGFIELD("IIC_iCMOVr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #14
{DBGFIELD("IIC_iCMOVsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("IIC_iMOVix2addpc") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #16
{DBGFIELD("IIC_iMOVix2ld") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #17
{DBGFIELD("IIC_iMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #18
{DBGFIELD("IIC_iMOVsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #19
{DBGFIELD("IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 18, 1, 3, 1, 64, 2}, // #20
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #21
{DBGFIELD("IIC_iLoad_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #22
{DBGFIELD("IIC_iLoad_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #23
{DBGFIELD("IIC_iStore_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #24
{DBGFIELD("IIC_iStore_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #25
{DBGFIELD("IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 2, false, false, 24, 1, 550, 2, 97, 6}, // #26
{DBGFIELD("IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 2, false, false, 98, 1, 49, 2, 64, 2}, // #27
{DBGFIELD("IIC_iStore_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("IIC_Br") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #29
{DBGFIELD("IIC_VMOVImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #30
{DBGFIELD("IIC_fpUNA64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #31
{DBGFIELD("IIC_fpUNA16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("IIC_fpUNA32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #33
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #34
{DBGFIELD("IIC_iCMOVsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #35
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #36
{DBGFIELD("IIC_iStore_ru_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #37
{DBGFIELD("IIC_iALUr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #38
{DBGFIELD("IIC_iALUi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #39
{DBGFIELD("IIC_iLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("IIC_iPop_Br_WriteBrL") 2, false, false, 5, 2, 1, 1, 0, 0}, // #41
{DBGFIELD("IIC_iALUsr_WriteALUsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #42
{DBGFIELD("IIC_iBITi_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #43
{DBGFIELD("IIC_iBITr_WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 64, 2}, // #44
{DBGFIELD("IIC_iBITsr_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #45
{DBGFIELD("IIC_iBITsr_WriteALUsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #46
{DBGFIELD("IIC_iUNAsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #47
{DBGFIELD("IIC_Br_WriteBrL") 2, false, false, 5, 2, 1, 1, 0, 0}, // #48
{DBGFIELD("WriteBrL") 2, false, false, 5, 2, 1, 1, 0, 0}, // #49
{DBGFIELD("WriteBr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #50
{DBGFIELD("IIC_iUNAr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #51
{DBGFIELD("IIC_iCMPi_WriteCMP_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #52
{DBGFIELD("IIC_iCMPr_WriteCMP_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 64, 2}, // #53
{DBGFIELD("IIC_iCMPsr_WriteCMPsi_ReadALU") 1, false, false, 18, 1, 2, 1, 0, 1}, // #54
{DBGFIELD("IIC_iCMPsr_WriteCMPsr_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #55
{DBGFIELD("IIC_fpSTAT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #56
{DBGFIELD("IIC_iLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #57
{DBGFIELD("IIC_iLoad_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #58
{DBGFIELD("IIC_iLoad_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #59
{DBGFIELD("IIC_iLoad_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #60
{DBGFIELD("IIC_iLoad_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #61
{DBGFIELD("IIC_iLoad_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #62
{DBGFIELD("IIC_iLoad_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #63
{DBGFIELD("IIC_iLoad_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #64
{DBGFIELD("IIC_iLoad_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #65
{DBGFIELD("IIC_iMOVr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #66
{DBGFIELD("IIC_iMOVsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #67
{DBGFIELD("IIC_iMVNi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #68
{DBGFIELD("IIC_iMVNr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #69
{DBGFIELD("IIC_iMVNsr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #70
{DBGFIELD("IIC_iBITsi_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #71
{DBGFIELD("IIC_Preload_WritePreLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #72
{DBGFIELD("IIC_iDIV_WriteDIV") 1, false, false, 99, 1, 552, 1, 0, 0}, // #73
{DBGFIELD("IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 18, 1, 549, 1, 93, 4}, // #74
{DBGFIELD("WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 18, 1, 549, 1, 93, 4}, // #75
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 2, false, false, 24, 1, 550, 2, 97, 6}, // #76
{DBGFIELD("WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 2, false, false, 98, 1, 49, 2, 64, 2}, // #77
{DBGFIELD("WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 18, 1, 3, 1, 64, 2}, // #78
{DBGFIELD("IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL") 1, false, false, 18, 1, 3, 1, 64, 2}, // #79
{DBGFIELD("IIC_iStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #80
{DBGFIELD("IIC_iStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #81
{DBGFIELD("IIC_iStore_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #82
{DBGFIELD("IIC_iStore_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #83
{DBGFIELD("IIC_iStore_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #84
{DBGFIELD("IIC_iStore_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #85
{DBGFIELD("IIC_iStore_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #86
{DBGFIELD("IIC_iStore_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #87
{DBGFIELD("IIC_iStore_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #88
{DBGFIELD("IIC_iEXTAr_WriteALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #89
{DBGFIELD("IIC_iEXTr_WriteALUsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #90
{DBGFIELD("IIC_iTSTi_WriteCMP_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #91
{DBGFIELD("IIC_iTSTr_WriteCMP_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 64, 2}, // #92
{DBGFIELD("IIC_iTSTsr_WriteCMPsi_ReadALU") 1, false, false, 18, 1, 2, 1, 0, 1}, // #93
{DBGFIELD("IIC_iTSTsr_WriteCMPsr_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #94
{DBGFIELD("IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL") 2, false, false, 24, 1, 550, 2, 64, 2}, // #95
{DBGFIELD("WriteALU_ReadALU_ReadALU") 1, false, false, 1, 1, 1, 1, 64, 2}, // #96
{DBGFIELD("IIC_VABAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #97
{DBGFIELD("IIC_VABAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #98
{DBGFIELD("IIC_VSUBi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #99
{DBGFIELD("IIC_VBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #100
{DBGFIELD("IIC_VBINQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #101
{DBGFIELD("IIC_VSUBi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #102
{DBGFIELD("IIC_VUNAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #103
{DBGFIELD("IIC_VUNAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #104
{DBGFIELD("IIC_VUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #105
{DBGFIELD("IIC_VUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #106
{DBGFIELD("IIC_fpALU64_WriteFPALU64") 1, false, false, 20, 1, 16, 1, 0, 0}, // #107
{DBGFIELD("IIC_fpALU16_WriteFPALU32") 1, false, false, 20, 1, 16, 1, 0, 0}, // #108
{DBGFIELD("IIC_VBINi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #109
{DBGFIELD("IIC_VSHLiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("IIC_fpALU32_WriteFPALU32") 1, false, false, 20, 1, 16, 1, 0, 0}, // #111
{DBGFIELD("IIC_VSUBiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #112
{DBGFIELD("IIC_VBINiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #113
{DBGFIELD("IIC_VBINiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #114
{DBGFIELD("IIC_VCNTiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #115
{DBGFIELD("IIC_VCNTiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #116
{DBGFIELD("IIC_VMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #117
{DBGFIELD("IIC_VMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("IIC_fpCMP64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("IIC_fpCMP16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #120
{DBGFIELD("IIC_fpCMP32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #122
{DBGFIELD("IIC_fpCVTSH_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #123
{DBGFIELD("IIC_fpCVTHS_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #124
{DBGFIELD("IIC_fpCVTDS_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #125
{DBGFIELD("IIC_fpCVTSD_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #126
{DBGFIELD("IIC_fpDIV64_WriteFPDIV64") 1, false, false, 100, 2, 41, 1, 0, 0}, // #127
{DBGFIELD("IIC_fpDIV16_WriteFPDIV32") 1, false, false, 102, 2, 42, 1, 0, 0}, // #128
{DBGFIELD("IIC_fpDIV32_WriteFPDIV32") 1, false, false, 102, 2, 42, 1, 0, 0}, // #129
{DBGFIELD("IIC_VMOVIS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #130
{DBGFIELD("IIC_VMOVD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #131
{DBGFIELD("IIC_VMOVQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #132
{DBGFIELD("IIC_VEXTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("IIC_VEXTQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #134
{DBGFIELD("IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 553, 1, 103, 4}, // #135
{DBGFIELD("IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 553, 1, 103, 4}, // #136
{DBGFIELD("IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 553, 1, 103, 4}, // #137
{DBGFIELD("IIC_VFMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #138
{DBGFIELD("IIC_VFMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #139
{DBGFIELD("IIC_VMOVSI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #140
{DBGFIELD("IIC_VBINi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #141
{DBGFIELD("IIC_fpCVTDI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #142
{DBGFIELD("IIC_VLD1dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #143
{DBGFIELD("IIC_VLD1dupu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #144
{DBGFIELD("IIC_VLD1dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #145
{DBGFIELD("IIC_VLD1dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #146
{DBGFIELD("IIC_VLD1ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #147
{DBGFIELD("IIC_VLD1lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #148
{DBGFIELD("IIC_VLD1ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #149
{DBGFIELD("IIC_VLD1_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #150
{DBGFIELD("IIC_VLD1x4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #151
{DBGFIELD("IIC_VLD1x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #152
{DBGFIELD("IIC_VLD1x3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #153
{DBGFIELD("IIC_VLD1x2u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #154
{DBGFIELD("IIC_VLD1u_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #155
{DBGFIELD("IIC_VLD1x2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #156
{DBGFIELD("IIC_VLD1x2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #157
{DBGFIELD("IIC_VLD2dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #158
{DBGFIELD("IIC_VLD2dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #159
{DBGFIELD("IIC_VLD2dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #160
{DBGFIELD("IIC_VLD2ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #161
{DBGFIELD("IIC_VLD2lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #162
{DBGFIELD("IIC_VLD2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #163
{DBGFIELD("IIC_VLD2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #164
{DBGFIELD("IIC_VLD2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #165
{DBGFIELD("IIC_VLD2x2_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #166
{DBGFIELD("IIC_VLD2x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #167
{DBGFIELD("IIC_VLD3dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #168
{DBGFIELD("IIC_VLD3dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #169
{DBGFIELD("IIC_VLD3ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #170
{DBGFIELD("IIC_VLD3lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #171
{DBGFIELD("IIC_VLD3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #172
{DBGFIELD("IIC_VLD3u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #173
{DBGFIELD("IIC_VLD4dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #174
{DBGFIELD("IIC_VLD4dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #175
{DBGFIELD("IIC_VLD4dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #176
{DBGFIELD("IIC_VLD4ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #177
{DBGFIELD("IIC_VLD4lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #178
{DBGFIELD("IIC_VLD4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #179
{DBGFIELD("IIC_VLD4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #180
{DBGFIELD("IIC_VLD4u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #181
{DBGFIELD("IIC_fpLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #182
{DBGFIELD("IIC_fpLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #183
{DBGFIELD("IIC_fpLoad64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #184
{DBGFIELD("IIC_fpLoad16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #185
{DBGFIELD("IIC_fpLoad32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #186
{DBGFIELD("IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 553, 1, 103, 4}, // #187
{DBGFIELD("IIC_fpMAC16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #188
{DBGFIELD("IIC_VMACi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #189
{DBGFIELD("IIC_VMACi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #190
{DBGFIELD("IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 553, 1, 103, 4}, // #191
{DBGFIELD("IIC_VMACi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #192
{DBGFIELD("IIC_VMACi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #193
{DBGFIELD("IIC_fpMOVID_WriteFPMOV") 1, false, false, 2, 1, 16, 1, 0, 0}, // #194
{DBGFIELD("IIC_fpMOVIS_WriteFPMOV") 1, false, false, 2, 1, 16, 1, 0, 0}, // #195
{DBGFIELD("IIC_VQUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #196
{DBGFIELD("IIC_VMOVN") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #197
{DBGFIELD("IIC_fpMOVSI_WriteFPMOV") 1, false, false, 2, 1, 16, 1, 0, 0}, // #198
{DBGFIELD("IIC_fpMOVDI_WriteFPMOV") 1, false, false, 2, 1, 16, 1, 0, 0}, // #199
{DBGFIELD("IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 554, 1, 64, 2}, // #200
{DBGFIELD("IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 554, 1, 64, 2}, // #201
{DBGFIELD("IIC_VMULi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #202
{DBGFIELD("IIC_VMULi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #203
{DBGFIELD("IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 20, 1, 554, 1, 64, 2}, // #204
{DBGFIELD("IIC_VFMULD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #205
{DBGFIELD("IIC_VFMULQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #206
{DBGFIELD("IIC_VMULi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #207
{DBGFIELD("IIC_VMULi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #208
{DBGFIELD("IIC_VSHLiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #209
{DBGFIELD("IIC_VPALiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("IIC_VPALiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #211
{DBGFIELD("IIC_VPBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #212
{DBGFIELD("IIC_VQUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #213
{DBGFIELD("IIC_VSHLi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #214
{DBGFIELD("IIC_VSHLi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #215
{DBGFIELD("IIC_VRECSD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #216
{DBGFIELD("IIC_VRECSQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #217
{DBGFIELD("IIC_VDOTPROD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #218
{DBGFIELD("IIC_VMOVISL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #219
{DBGFIELD("IIC_fpCVTID_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #220
{DBGFIELD("IIC_fpCVTIH_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #221
{DBGFIELD("IIC_fpCVTIS_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #222
{DBGFIELD("IIC_fpSQRT64_WriteFPSQRT64") 1, false, false, 100, 2, 41, 1, 0, 0}, // #223
{DBGFIELD("IIC_fpSQRT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("IIC_fpSQRT32_WriteFPSQRT32") 1, false, false, 102, 2, 42, 1, 0, 0}, // #225
{DBGFIELD("IIC_VST1ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #226
{DBGFIELD("IIC_VST1lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #227
{DBGFIELD("IIC_VST1_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #228
{DBGFIELD("IIC_VST1x4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #229
{DBGFIELD("IIC_VLD1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #230
{DBGFIELD("IIC_VST1x3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #231
{DBGFIELD("IIC_VLD1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #232
{DBGFIELD("IIC_VLD1u_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #233
{DBGFIELD("IIC_VST1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #234
{DBGFIELD("IIC_VST1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #235
{DBGFIELD("IIC_VST1x2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #236
{DBGFIELD("IIC_VLD1x2u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #237
{DBGFIELD("IIC_VST2ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #238
{DBGFIELD("IIC_VST2lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #239
{DBGFIELD("IIC_VST2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #240
{DBGFIELD("IIC_VST2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #241
{DBGFIELD("IIC_VLD1u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #242
{DBGFIELD("IIC_VST2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #243
{DBGFIELD("IIC_VST2x2_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #244
{DBGFIELD("IIC_VST2x2u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #245
{DBGFIELD("IIC_VLD1u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #246
{DBGFIELD("IIC_VST3ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #247
{DBGFIELD("IIC_VST3lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #248
{DBGFIELD("IIC_VST3lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #249
{DBGFIELD("IIC_VST3ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #250
{DBGFIELD("IIC_VST3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #251
{DBGFIELD("IIC_VST3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #252
{DBGFIELD("IIC_VST4ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #253
{DBGFIELD("IIC_VST4lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #254
{DBGFIELD("IIC_VST4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #255
{DBGFIELD("IIC_VST4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #256
{DBGFIELD("IIC_VST4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #257
{DBGFIELD("IIC_fpStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #258
{DBGFIELD("IIC_fpStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #259
{DBGFIELD("IIC_fpStore64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #260
{DBGFIELD("IIC_fpStore16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #261
{DBGFIELD("IIC_fpStore32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #262
{DBGFIELD("IIC_VSUBiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #263
{DBGFIELD("IIC_VTB1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("IIC_VTB2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #265
{DBGFIELD("IIC_VTB3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #266
{DBGFIELD("IIC_VTB4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("IIC_VTBX1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #268
{DBGFIELD("IIC_VTBX2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #269
{DBGFIELD("IIC_VTBX3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #270
{DBGFIELD("IIC_VTBX4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #271
{DBGFIELD("IIC_fpCVTDI_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #272
{DBGFIELD("IIC_fpCVTHI_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #273
{DBGFIELD("IIC_fpCVTSI_WriteFPCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #274
{DBGFIELD("IIC_VPERMD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #275
{DBGFIELD("IIC_VPERMQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #276
{DBGFIELD("IIC_VPERMQ3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #277
{DBGFIELD("IIC_iUNAsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #278
{DBGFIELD("IIC_iBITi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU") 1, false, false, 18, 1, 2, 1, 64, 2}, // #280
{DBGFIELD("IIC_iCMPi_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #281
{DBGFIELD("IIC_iCMPr_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #282
{DBGFIELD("IIC_iCMPsi_WriteCMPsi") 1, false, false, 18, 1, 2, 1, 0, 0}, // #283
{DBGFIELD("IIC_iALUx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #284
{DBGFIELD("WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #285
{DBGFIELD("IIC_iLoad_bh_i_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #286
{DBGFIELD("IIC_iLoad_bh_iu_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #287
{DBGFIELD("IIC_iLoad_bh_si_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #288
{DBGFIELD("IIC_iLoad_d_ru_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #289
{DBGFIELD("IIC_iLoad_d_i_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #290
{DBGFIELD("IIC_iLoad_i_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #291
{DBGFIELD("IIC_iLoad_iu_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #292
{DBGFIELD("IIC_iLoad_si_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #293
{DBGFIELD("IIC_iMVNsi_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #294
{DBGFIELD("IIC_iALUsir_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 18, 1, 549, 1, 93, 4}, // #296
{DBGFIELD("IIC_iMAC32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #297
{DBGFIELD("WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #298
{DBGFIELD("WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #299
{DBGFIELD("IIC_iStore_bh_i_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #300
{DBGFIELD("IIC_iStore_bh_iu_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #301
{DBGFIELD("IIC_iStore_bh_si_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #302
{DBGFIELD("IIC_iStore_d_ru_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #303
{DBGFIELD("IIC_iStore_d_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #304
{DBGFIELD("IIC_iStore_iu_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #305
{DBGFIELD("IIC_iStore_i_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #306
{DBGFIELD("IIC_iStore_si_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #307
{DBGFIELD("IIC_iEXTAsr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #308
{DBGFIELD("IIC_iEXTr_WriteALU_ReadALU") 1, false, false, 1, 1, 1, 1, 0, 1}, // #309
{DBGFIELD("IIC_iTSTi_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #310
{DBGFIELD("IIC_iTSTr_WriteCMP") 1, false, false, 1, 1, 1, 1, 0, 0}, // #311
{DBGFIELD("IIC_iTSTsi_WriteCMPsi") 1, false, false, 18, 1, 2, 1, 0, 0}, // #312
{DBGFIELD("IIC_iBITr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #313
{DBGFIELD("IIC_iLoad_bh_r_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #314
{DBGFIELD("IIC_iLoad_r_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #315
{DBGFIELD("IIC_iPop_WriteLd") 1, false, false, 2, 1, 9, 1, 0, 0}, // #316
{DBGFIELD("IIC_iStore_m_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #317
{DBGFIELD("IIC_iStore_bh_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #318
{DBGFIELD("IIC_iStore_r_WriteST") 1, false, false, 4, 1, 1, 1, 0, 0}, // #319
{DBGFIELD("IIC_iTSTr_WriteALU") 1, false, false, 1, 1, 1, 1, 0, 0}, // #320
{DBGFIELD("ANDri_ORRri_EORri_BICri") 1, false, false, 1, 1, 1, 1, 0, 1}, // #321
{DBGFIELD("ANDrr_ORRrr_EORrr_BICrr") 1, false, false, 1, 1, 1, 1, 64, 2}, // #322
{DBGFIELD("ANDrsi_ORRrsi_EORrsi_BICrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #323
{DBGFIELD("ANDrsr_ORRrsr_EORrsr_BICrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("MOVsra_flag_MOVsrl_flag") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("MOVsr_MOVsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #326
{DBGFIELD("MVNsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("MOVCCsi_MOVCCsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("MVNr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #329
{DBGFIELD("MOVCCi32imm") 1, false, false, 1, 1, 1, 1, 0, 0}, // #330
{DBGFIELD("MOVi32imm") 1, false, false, 1, 1, 1, 1, 0, 0}, // #331
{DBGFIELD("MOV_ga_pcrel") 3, false, false, 10, 1, 3, 1, 0, 0}, // #332
{DBGFIELD("MOV_ga_pcrel_ldr") 3, false, false, 10, 1, 3, 1, 0, 0}, // #333
{DBGFIELD("SEL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #334
{DBGFIELD("BFC_BFI_UBFX_SBFX") 1, false, false, 18, 1, 2, 1, 0, 0}, // #335
{DBGFIELD("MULv5_MUL_SMMUL_SMMULR") 1, false, false, 18, 1, 3, 1, 64, 2}, // #336
{DBGFIELD("MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR") 1, false, false, 18, 1, 549, 1, 93, 4}, // #337
{DBGFIELD("SMULLv5_SMULL_UMULLv5") 2, false, false, 98, 1, 49, 2, 64, 2}, // #338
{DBGFIELD("UMULL") 2, false, false, 24, 1, 550, 2, 64, 2}, // #339
{DBGFIELD("SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT") 2, false, false, 24, 1, 550, 2, 97, 6}, // #340
{DBGFIELD("SMLAD_SMLADX_SMLSD_SMLSDX") 1, false, false, 18, 1, 549, 1, 93, 4}, // #341
{DBGFIELD("SMLALD_SMLSLD") 2, false, false, 24, 1, 550, 2, 97, 6}, // #342
{DBGFIELD("SMLALDX_SMLSLDX") 2, false, false, 98, 1, 49, 2, 64, 2}, // #343
{DBGFIELD("SMUAD_SMUADX_SMUSD_SMUSDX") 1, false, false, 18, 1, 3, 1, 64, 2}, // #344
{DBGFIELD("SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT") 1, false, false, 18, 1, 3, 1, 64, 2}, // #345
{DBGFIELD("SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT") 1, false, false, 18, 1, 549, 1, 93, 4}, // #346
{DBGFIELD("LDRi12_PICLDR") 1, false, false, 2, 1, 9, 1, 0, 0}, // #347
{DBGFIELD("LDRrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #349
{DBGFIELD("LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE") 2, false, false, 1, 2, 50, 2, 0, 0}, // #350
{DBGFIELD("SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #351
{DBGFIELD("t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH") 1, false, false, 1, 1, 1, 1, 0, 1}, // #352
{DBGFIELD("t2MOVCCi32imm") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #353
{DBGFIELD("t2MOVi32imm") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #354
{DBGFIELD("t2MOV_ga_pcrel") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #355
{DBGFIELD("t2MOVi16_ga_pcrel") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #356
{DBGFIELD("t2SEL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #357
{DBGFIELD("t2BFC_t2UBFX_t2SBFX") 1, false, false, 18, 1, 2, 1, 0, 0}, // #358
{DBGFIELD("t2BFI") 1, false, false, 18, 1, 2, 1, 0, 0}, // #359
{DBGFIELD("QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #360
{DBGFIELD("SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #361
{DBGFIELD("t2SSAT_t2SSAT16_t2USAT_t2USAT16") 1, false, false, 18, 1, 2, 1, 0, 0}, // #362
{DBGFIELD("SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #363
{DBGFIELD("t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #364
{DBGFIELD("SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #365
{DBGFIELD("SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #366
{DBGFIELD("t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #367
{DBGFIELD("t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH") 1, false, false, 2, 1, 9, 1, 0, 0}, // #368
{DBGFIELD("USAD8") 1, false, false, 18, 1, 3, 1, 0, 0}, // #369
{DBGFIELD("USADA8") 1, false, false, 18, 1, 3, 1, 0, 0}, // #370
{DBGFIELD("SMUSD_SMUSDX") 1, false, false, 18, 1, 3, 1, 64, 2}, // #371
{DBGFIELD("t2MUL_t2SMMUL_t2SMMULR") 1, false, false, 18, 1, 3, 1, 64, 2}, // #372
{DBGFIELD("t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT") 1, false, false, 18, 1, 3, 1, 64, 2}, // #373
{DBGFIELD("t2SMUSD_t2SMUSDX") 1, false, false, 18, 1, 549, 1, 93, 4}, // #374
{DBGFIELD("t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR") 1, false, false, 18, 1, 549, 1, 93, 4}, // #375
{DBGFIELD("t2SMUAD_t2SMUADX") 1, false, false, 18, 1, 549, 1, 93, 4}, // #376
{DBGFIELD("SMLSD_SMLSDX") 1, false, false, 18, 1, 549, 1, 93, 4}, // #377
{DBGFIELD("t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT") 1, false, false, 18, 1, 549, 1, 93, 4}, // #378
{DBGFIELD("t2SMLSD_t2SMLSDX") 1, false, false, 18, 1, 549, 1, 0, 0}, // #379
{DBGFIELD("t2SMLAD_t2SMLADX") 1, false, false, 18, 1, 549, 1, 0, 0}, // #380
{DBGFIELD("SMULL") 2, false, false, 98, 1, 49, 2, 64, 2}, // #381
{DBGFIELD("t2SMULL_t2UMULL") 2, false, false, 98, 1, 49, 2, 64, 2}, // #382
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL") 2, false, false, 24, 1, 550, 2, 97, 6}, // #383
{DBGFIELD("SDIV_UDIV_t2SDIV_t2UDIV") 1, false, false, 99, 1, 552, 1, 0, 0}, // #384
{DBGFIELD("LDRi12") 1, false, false, 2, 1, 9, 1, 0, 0}, // #385
{DBGFIELD("LDRBi12") 1, false, false, 2, 1, 9, 1, 0, 0}, // #386
{DBGFIELD("LDRBrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #387
{DBGFIELD("t2LDRpci_pic") 1, false, false, 2, 1, 9, 1, 0, 0}, // #388
{DBGFIELD("t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi") 1, false, false, 2, 1, 9, 1, 0, 0}, // #389
{DBGFIELD("t2LDRs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #390
{DBGFIELD("t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi") 1, false, false, 2, 1, 9, 1, 0, 0}, // #391
{DBGFIELD("t2LDRBs_t2LDRHs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #392
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic") 0, false, false, 0, 0, 0, 1, 0, 0}, // #393
{DBGFIELD("tLDRBr_tLDRHr") 1, false, false, 2, 1, 9, 1, 0, 0}, // #394
{DBGFIELD("tLDRr") 1, false, false, 2, 1, 9, 1, 0, 0}, // #395
{DBGFIELD("LDRH_PICLDRB_PICLDRH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #396
{DBGFIELD("LDRcp") 1, false, false, 2, 1, 9, 1, 0, 0}, // #397
{DBGFIELD("t2LDRSBpcrel_t2LDRSHpcrel") 1, false, false, 2, 1, 9, 1, 0, 0}, // #398
{DBGFIELD("t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci") 1, false, false, 2, 1, 9, 1, 0, 0}, // #399
{DBGFIELD("t2LDRSBs_t2LDRSHs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #400
{DBGFIELD("tLDRSB_tLDRSH") 1, false, false, 2, 1, 9, 1, 0, 0}, // #401
{DBGFIELD("LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #402
{DBGFIELD("LDRB_POST_IMM_LDRB_PRE_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #403
{DBGFIELD("LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #404
{DBGFIELD("LDR_POST_IMM_LDR_PRE_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #405
{DBGFIELD("LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr") 2, false, false, 1, 2, 50, 2, 0, 0}, // #406
{DBGFIELD("t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #407
{DBGFIELD("t2LDR_POST_t2LDR_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #408
{DBGFIELD("t2LDRBT_t2LDRHT") 1, false, false, 2, 1, 9, 1, 0, 0}, // #409
{DBGFIELD("t2LDRT") 1, false, false, 2, 1, 9, 1, 0, 0}, // #410
{DBGFIELD("t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #411
{DBGFIELD("t2LDRSBT_t2LDRSHT") 1, false, false, 2, 1, 9, 1, 0, 0}, // #412
{DBGFIELD("t2LDRDi8") 2, false, false, 98, 1, 49, 2, 0, 0}, // #413
{DBGFIELD("LDRD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #414
{DBGFIELD("LDRD_POST_LDRD_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #415
{DBGFIELD("t2LDRD_POST_t2LDRD_PRE") 4, false, false, 106, 2, 555, 3, 0, 0}, // #416
{DBGFIELD("LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #417
{DBGFIELD("LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #418
{DBGFIELD("LDMIA_RET_t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #419
{DBGFIELD("tPOP_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #420
{DBGFIELD("tPOP") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #421
{DBGFIELD("PICSTR_STRi12") 1, false, false, 4, 1, 1, 1, 0, 0}, // #422
{DBGFIELD("PICSTRB_PICSTRH_STRBi12_STRH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #423
{DBGFIELD("STRrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #424
{DBGFIELD("STRBrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #425
{DBGFIELD("STREX_STREXB_STREXD_STREXH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #426
{DBGFIELD("t2STRi12_t2STRi8_tSTRi_tSTRspi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #427
{DBGFIELD("t2STRs") 1, false, false, 4, 1, 1, 1, 0, 0}, // #428
{DBGFIELD("t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #429
{DBGFIELD("t2STRBs_t2STRHs") 1, false, false, 4, 1, 1, 1, 0, 0}, // #430
{DBGFIELD("tSTRBr_tSTRHr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #431
{DBGFIELD("tSTRr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #432
{DBGFIELD("STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr") 2, false, false, 6, 2, 7, 2, 0, 0}, // #433
{DBGFIELD("STRB_POST_IMM_STRB_PRE_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #434
{DBGFIELD("STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx") 2, false, false, 40, 2, 13, 2, 0, 0}, // #435
{DBGFIELD("STR_POST_IMM_STR_PRE_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #436
{DBGFIELD("STRBT_POST_STRT_POST") 2, false, false, 40, 2, 13, 2, 0, 0}, // #437
{DBGFIELD("t2STR_POST_t2STR_PRE_t2STRH_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #438
{DBGFIELD("t2STRB_POST_t2STRB_PRE_t2STRH_POST") 2, false, false, 6, 2, 7, 2, 0, 0}, // #439
{DBGFIELD("t2STR_preidx_t2STRB_preidx_t2STRH_preidx") 2, false, false, 6, 2, 7, 2, 0, 0}, // #440
{DBGFIELD("t2STRBT_t2STRHT") 2, false, false, 6, 2, 7, 2, 0, 0}, // #441
{DBGFIELD("t2STRT") 1, false, false, 4, 1, 1, 1, 0, 0}, // #442
{DBGFIELD("STRD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #443
{DBGFIELD("t2STRDi8") 1, false, false, 4, 1, 1, 1, 0, 0}, // #444
{DBGFIELD("t2STRD_POST_t2STRD_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #445
{DBGFIELD("STRD_POST_STRD_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #446
{DBGFIELD("STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #447
{DBGFIELD("STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #448
{DBGFIELD("tPUSH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #449
{DBGFIELD("LDRLIT_ga_abs_tLDRLIT_ga_abs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #450
{DBGFIELD("LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel") 1, false, false, 2, 1, 9, 1, 0, 0}, // #451
{DBGFIELD("LDRLIT_ga_pcrel_ldr") 1, false, false, 2, 1, 9, 1, 0, 0}, // #452
{DBGFIELD("t2IT") 0, false, false, 0, 0, 0, 1, 0, 0}, // #453
{DBGFIELD("ITasm") 0, false, false, 0, 0, 0, 1, 0, 0}, // #454
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #455
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #456
{DBGFIELD("VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #457
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #458
{DBGFIELD("VNEGf32q") 1, false, false, 20, 1, 3, 1, 0, 0}, // #459
{DBGFIELD("VNEGfd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #460
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 108, 2, 3, 1, 0, 0}, // #461
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 108, 2, 9, 1, 0, 0}, // #462
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #463
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #464
{DBGFIELD("VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #465
{DBGFIELD("VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #466
{DBGFIELD("VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #467
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #468
{DBGFIELD("VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16") 1, false, false, 108, 2, 16, 1, 0, 0}, // #469
{DBGFIELD("VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #470
{DBGFIELD("VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #471
{DBGFIELD("VEXTd16_VEXTd32_VEXTd8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #472
{DBGFIELD("VEXTq16_VEXTq32_VEXTq64_VEXTq8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #473
{DBGFIELD("VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #474
{DBGFIELD("VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #475
{DBGFIELD("VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 108, 2, 560, 1, 107, 1}, // #476
{DBGFIELD("VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16") 1, false, false, 108, 2, 561, 1, 108, 1}, // #477
{DBGFIELD("VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16") 1, false, false, 108, 2, 562, 1, 109, 1}, // #478
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8") 1, false, false, 108, 2, 563, 1, 110, 1}, // #479
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #480
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #481
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #482
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #483
{DBGFIELD("VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #484
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16") 1, false, false, 108, 2, 16, 1, 0, 0}, // #485
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #486
{DBGFIELD("VABSfd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #487
{DBGFIELD("VABSfq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #488
{DBGFIELD("VABSv16i8_VABSv4i32_VABSv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #489
{DBGFIELD("VABSv2i32_VABSv4i16_VABSv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #490
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #491
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #492
{DBGFIELD("VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #493
{DBGFIELD("VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #494
{DBGFIELD("VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #495
{DBGFIELD("VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #496
{DBGFIELD("VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #497
{DBGFIELD("VSHRNv2i32_VSHRNv4i16_VSHRNv8i8") 1, false, false, 108, 2, 3, 1, 0, 0}, // #498
{DBGFIELD("VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #499
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #500
{DBGFIELD("VTBL1") 1, false, false, 20, 1, 3, 1, 0, 0}, // #501
{DBGFIELD("VTBX1") 1, false, false, 20, 1, 3, 1, 0, 0}, // #502
{DBGFIELD("VTBL2") 1, false, false, 20, 1, 3, 1, 0, 0}, // #503
{DBGFIELD("VTBX2") 1, false, false, 20, 1, 3, 1, 0, 0}, // #504
{DBGFIELD("VTBL3_VTBL3Pseudo") 1, false, false, 20, 1, 26, 1, 0, 0}, // #505
{DBGFIELD("VTBX3_VTBX3Pseudo") 1, false, false, 20, 1, 26, 1, 0, 0}, // #506
{DBGFIELD("VTBL4_VTBL4Pseudo") 1, false, false, 20, 1, 26, 1, 0, 0}, // #507
{DBGFIELD("VTBX4_VTBX4Pseudo") 1, false, false, 20, 1, 26, 1, 0, 0}, // #508
{DBGFIELD("VSWPd_VSWPq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #509
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8") 2, false, false, 9, 1, 43, 2, 0, 0}, // #510
{DBGFIELD("VTRNq16_VTRNq32_VTRNq8") 2, false, false, 9, 1, 43, 2, 0, 0}, // #511
{DBGFIELD("VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8") 2, false, false, 9, 1, 67, 2, 0, 0}, // #512
{DBGFIELD("VABSD_VNEGD") 1, false, false, 20, 1, 3, 1, 0, 0}, // #513
{DBGFIELD("VABSS_VNEGS") 1, false, false, 20, 1, 3, 1, 0, 0}, // #514
{DBGFIELD("VCMPD_VCMPZD_VCMPED_VCMPEZD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #515
{DBGFIELD("VCMPS_VCMPZS_VCMPES_VCMPEZS") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #516
{DBGFIELD("VADDS_VSUBS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #517
{DBGFIELD("VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #518
{DBGFIELD("VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #519
{DBGFIELD("VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #520
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #521
{DBGFIELD("VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh") 1, false, false, 20, 1, 16, 1, 0, 0}, // #522
{DBGFIELD("VADDD_VSUBD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #523
{DBGFIELD("VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd") 1, false, false, 20, 1, 27, 1, 0, 0}, // #524
{DBGFIELD("VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq") 1, false, false, 20, 1, 27, 1, 0, 0}, // #525
{DBGFIELD("VMULS_VNMULS") 1, false, false, 20, 1, 554, 1, 64, 2}, // #526
{DBGFIELD("VMULfd") 1, false, false, 20, 1, 564, 1, 0, 0}, // #527
{DBGFIELD("VMULfq") 1, false, false, 20, 1, 564, 1, 0, 0}, // #528
{DBGFIELD("VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32") 1, false, false, 20, 1, 564, 1, 0, 0}, // #529
{DBGFIELD("VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 20, 1, 564, 1, 0, 0}, // #530
{DBGFIELD("VMULslfd") 1, false, false, 20, 1, 564, 1, 0, 0}, // #531
{DBGFIELD("VMULslfq") 1, false, false, 20, 1, 564, 1, 0, 0}, // #532
{DBGFIELD("VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #533
{DBGFIELD("VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #534
{DBGFIELD("VMULLp64") 1, false, false, 110, 2, 3, 1, 0, 0}, // #535
{DBGFIELD("VMLAD_VMLSD_VNMLAD_VNMLSD") 1, false, false, 20, 1, 553, 1, 103, 4}, // #536
{DBGFIELD("VMLAH_VMLSH_VNMLAH_VNMLSH") 1, false, false, 20, 1, 553, 1, 103, 4}, // #537
{DBGFIELD("VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #538
{DBGFIELD("VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #539
{DBGFIELD("VMLAS_VMLSS_VNMLAS_VNMLSS") 1, false, false, 20, 1, 553, 1, 103, 4}, // #540
{DBGFIELD("VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd") 1, false, false, 20, 1, 565, 1, 111, 2}, // #541
{DBGFIELD("VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq") 1, false, false, 20, 1, 565, 1, 111, 2}, // #542
{DBGFIELD("VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #543
{DBGFIELD("VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #544
{DBGFIELD("VFMAD_VFMSD_VFNMAD_VFNMSD") 1, false, false, 20, 1, 553, 1, 103, 4}, // #545
{DBGFIELD("VFMAS_VFMSS_VFNMAS_VFNMSS") 1, false, false, 20, 1, 553, 1, 103, 4}, // #546
{DBGFIELD("VFNMAH_VFNMSH") 1, false, false, 20, 1, 553, 1, 103, 4}, // #547
{DBGFIELD("VFMAfd_VFMSfd") 1, false, false, 20, 1, 565, 1, 111, 2}, // #548
{DBGFIELD("VFMAfq_VFMSfq") 1, false, false, 20, 1, 565, 1, 111, 2}, // #549
{DBGFIELD("VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #550
{DBGFIELD("VCVTBHD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #551
{DBGFIELD("VCVTBHS_VCVTTHS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #552
{DBGFIELD("VCVTBSH_VCVTTSH") 1, false, false, 20, 1, 16, 1, 0, 0}, // #553
{DBGFIELD("VCVTDS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #554
{DBGFIELD("VCVTSD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #555
{DBGFIELD("VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq") 1, false, false, 20, 1, 33, 1, 0, 0}, // #556
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd") 1, false, false, 20, 1, 33, 1, 0, 0}, // #557
{DBGFIELD("VSITOD_VUITOD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #558
{DBGFIELD("VSITOH_VUITOH") 1, false, false, 20, 1, 16, 1, 0, 0}, // #559
{DBGFIELD("VSITOS_VUITOS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #560
{DBGFIELD("VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #561
{DBGFIELD("VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH") 1, false, false, 20, 1, 16, 1, 0, 0}, // #562
{DBGFIELD("VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #563
{DBGFIELD("VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #564
{DBGFIELD("VMOVD_VMOVDcc_FCONSTD") 1, false, false, 20, 1, 3, 1, 0, 0}, // #565
{DBGFIELD("VMOVS_VMOVScc_FCONSTS") 1, false, false, 20, 1, 3, 1, 0, 0}, // #566
{DBGFIELD("VMVNd_VMVNq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #567
{DBGFIELD("VMOVNv2i32_VMOVNv4i16_VMOVNv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #568
{DBGFIELD("VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16") 1, false, false, 108, 2, 3, 1, 0, 0}, // #569
{DBGFIELD("VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #570
{DBGFIELD("VDUPLN16d_VDUPLN32d_VDUPLN8d") 1, false, false, 20, 1, 3, 1, 0, 0}, // #571
{DBGFIELD("VDUPLN16q_VDUPLN32q_VDUPLN8q") 1, false, false, 20, 1, 3, 1, 0, 0}, // #572
{DBGFIELD("VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q") 2, false, false, 112, 2, 33, 1, 0, 0}, // #573
{DBGFIELD("VMOVRS") 1, false, false, 2, 1, 16, 1, 0, 0}, // #574
{DBGFIELD("VMOVSR") 1, false, false, 2, 1, 16, 1, 0, 0}, // #575
{DBGFIELD("VSETLNi16_VSETLNi32_VSETLNi8") 2, false, false, 112, 2, 33, 1, 0, 0}, // #576
{DBGFIELD("VMOVRRD_VMOVRRS") 2, false, false, 98, 1, 57, 2, 0, 0}, // #577
{DBGFIELD("VMOVDRR") 2, false, false, 1, 2, 33, 1, 0, 0}, // #578
{DBGFIELD("VMOVSRR") 1, false, false, 2, 1, 16, 1, 0, 0}, // #579
{DBGFIELD("VGETLNi32_VGETLNu16_VGETLNu8") 2, false, false, 1, 2, 26, 1, 0, 0}, // #580
{DBGFIELD("VGETLNs16_VGETLNs8") 2, false, false, 1, 2, 26, 1, 0, 0}, // #581
{DBGFIELD("VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR") 0, false, false, 0, 0, 0, 1, 0, 0}, // #582
{DBGFIELD("VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR") 0, false, false, 0, 0, 0, 1, 0, 0}, // #583
{DBGFIELD("FMSTAT") 0, false, false, 0, 0, 0, 1, 0, 0}, // #584
{DBGFIELD("VLDRD") 1, false, false, 2, 1, 16, 1, 0, 0}, // #585
{DBGFIELD("VLDRS") 1, false, false, 2, 1, 16, 1, 0, 0}, // #586
{DBGFIELD("VSTRD") 1, false, false, 4, 1, 1, 1, 0, 0}, // #587
{DBGFIELD("VSTRS") 1, false, false, 4, 1, 1, 1, 0, 0}, // #588
{DBGFIELD("VLDMQIA") 1, false, false, 2, 1, 16, 1, 0, 0}, // #589
{DBGFIELD("VSTMQIA") 1, false, false, 4, 1, 2, 1, 0, 0}, // #590
{DBGFIELD("VLDMDIA_VLDMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #592
{DBGFIELD("VSTMDIA_VSTMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #593
{DBGFIELD("VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #594
{DBGFIELD("VLD1d16_VLD1d32_VLD1d64_VLD1d8") 1, false, false, 2, 1, 16, 1, 0, 0}, // #595
{DBGFIELD("VLD1q16_VLD1q32_VLD1q64_VLD1q8") 1, false, false, 2, 1, 16, 1, 0, 0}, // #596
{DBGFIELD("VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register") 2, false, false, 1, 2, 34, 2, 0, 0}, // #597
{DBGFIELD("VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register") 2, false, false, 1, 2, 34, 2, 0, 0}, // #598
{DBGFIELD("VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register") 1, false, false, 2, 1, 26, 1, 0, 0}, // #599
{DBGFIELD("VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register") 2, false, false, 1, 2, 36, 2, 0, 0}, // #600
{DBGFIELD("VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register") 1, false, false, 2, 1, 26, 1, 0, 0}, // #601
{DBGFIELD("VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register") 2, false, false, 1, 2, 36, 2, 0, 0}, // #602
{DBGFIELD("VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8") 2, false, false, 112, 2, 27, 1, 0, 0}, // #603
{DBGFIELD("VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo") 2, false, false, 112, 2, 33, 1, 0, 0}, // #604
{DBGFIELD("VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 3, false, false, 114, 3, 566, 2, 0, 0}, // #605
{DBGFIELD("VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register") 3, false, false, 114, 3, 129, 2, 0, 0}, // #606
{DBGFIELD("VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8") 6, false, false, 117, 2, 568, 3, 0, 0}, // #607
{DBGFIELD("VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo") 2, false, false, 112, 2, 27, 1, 0, 0}, // #608
{DBGFIELD("VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD") 9, false, false, 119, 3, 571, 4, 0, 0}, // #609
{DBGFIELD("VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD") 3, false, false, 114, 3, 566, 2, 0, 0}, // #610
{DBGFIELD("VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8") 8, false, false, 122, 2, 568, 4, 0, 0}, // #611
{DBGFIELD("VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo") 2, false, false, 112, 2, 27, 1, 0, 0}, // #612
{DBGFIELD("VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD") 12, false, false, 124, 3, 570, 5, 0, 0}, // #613
{DBGFIELD("VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD") 3, false, false, 114, 3, 566, 2, 0, 0}, // #614
{DBGFIELD("VLD1DUPd16_VLD1DUPd32_VLD1DUPd8") 2, false, false, 112, 2, 33, 1, 0, 0}, // #615
{DBGFIELD("VLD1DUPq16_VLD1DUPq32_VLD1DUPq8") 2, false, false, 112, 2, 33, 1, 0, 0}, // #616
{DBGFIELD("VLD1LNd16_VLD1LNd8") 2, false, false, 112, 2, 33, 1, 0, 0}, // #617
{DBGFIELD("VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo") 2, false, false, 112, 2, 33, 1, 0, 0}, // #618
{DBGFIELD("VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register") 3, false, false, 114, 3, 129, 2, 0, 0}, // #619
{DBGFIELD("VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed") 3, false, false, 114, 3, 129, 2, 0, 0}, // #620
{DBGFIELD("VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #621
{DBGFIELD("VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2") 4, false, false, 127, 2, 93, 2, 0, 0}, // #622
{DBGFIELD("VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo") 4, false, false, 127, 2, 93, 2, 0, 0}, // #623
{DBGFIELD("VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD") 5, false, false, 129, 3, 575, 3, 0, 0}, // #624
{DBGFIELD("VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register") 3, false, false, 114, 3, 129, 2, 0, 0}, // #625
{DBGFIELD("VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #626
{DBGFIELD("VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo") 6, false, false, 117, 2, 578, 3, 0, 0}, // #627
{DBGFIELD("VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo") 6, false, false, 117, 2, 568, 3, 0, 0}, // #628
{DBGFIELD("VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD") 9, false, false, 119, 3, 581, 4, 0, 0}, // #629
{DBGFIELD("VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD") 9, false, false, 119, 3, 571, 4, 0, 0}, // #630
{DBGFIELD("VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #631
{DBGFIELD("VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD") 3, false, false, 114, 3, 566, 2, 0, 0}, // #632
{DBGFIELD("VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8") 8, false, false, 122, 2, 578, 4, 0, 0}, // #633
{DBGFIELD("VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo") 8, false, false, 122, 2, 568, 4, 0, 0}, // #634
{DBGFIELD("VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo") 8, false, false, 122, 2, 578, 4, 0, 0}, // #635
{DBGFIELD("VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD") 12, false, false, 124, 3, 580, 5, 0, 0}, // #636
{DBGFIELD("VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD") 12, false, false, 124, 3, 570, 5, 0, 0}, // #637
{DBGFIELD("VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #638
{DBGFIELD("VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD") 3, false, false, 114, 3, 566, 2, 0, 0}, // #639
{DBGFIELD("VST1d16_VST1d32_VST1d64_VST1d8") 1, false, false, 4, 1, 1, 1, 0, 0}, // #640
{DBGFIELD("VST1q16_VST1q32_VST1q64_VST1q8") 1, false, false, 4, 1, 2, 1, 0, 0}, // #641
{DBGFIELD("VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register") 2, false, false, 6, 2, 7, 2, 0, 0}, // #642
{DBGFIELD("VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register") 2, false, false, 6, 2, 1, 2, 0, 0}, // #643
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo") 1, false, false, 4, 1, 3, 1, 0, 0}, // #644
{DBGFIELD("VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register") 2, false, false, 6, 2, 23, 2, 0, 0}, // #645
{DBGFIELD("VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register") 2, false, false, 6, 2, 23, 2, 0, 0}, // #646
{DBGFIELD("VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo") 1, false, false, 4, 1, 9, 1, 0, 0}, // #647
{DBGFIELD("VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register") 2, false, false, 6, 2, 8, 2, 0, 0}, // #648
{DBGFIELD("VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register") 2, false, false, 6, 2, 8, 2, 0, 0}, // #649
{DBGFIELD("VST2b16_VST2b32_VST2b8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #650
{DBGFIELD("VST2d16_VST2d32_VST2d8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #651
{DBGFIELD("VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register") 3, false, false, 134, 3, 23, 2, 0, 0}, // #652
{DBGFIELD("VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo") 2, false, false, 132, 2, 9, 1, 0, 0}, // #653
{DBGFIELD("VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register") 3, false, false, 134, 3, 8, 2, 0, 0}, // #654
{DBGFIELD("VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register") 3, false, false, 134, 3, 8, 2, 0, 0}, // #655
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #656
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #657
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo") 2, false, false, 132, 2, 9, 1, 0, 0}, // #658
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD") 3, false, false, 134, 3, 8, 2, 0, 0}, // #659
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #660
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #661
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #662
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #663
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #664
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #665
{DBGFIELD("VST3LNq16Pseudo_VST3LNq32Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #666
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #667
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #668
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #669
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #670
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #671
{DBGFIELD("VDIVS") 1, false, false, 102, 2, 42, 1, 0, 0}, // #672
{DBGFIELD("VSQRTS") 1, false, false, 102, 2, 42, 1, 0, 0}, // #673
{DBGFIELD("VDIVD") 1, false, false, 100, 2, 41, 1, 0, 0}, // #674
{DBGFIELD("VSQRTD") 1, false, false, 100, 2, 41, 1, 0, 0}, // #675
{DBGFIELD("ABS") 0, false, false, 0, 0, 0, 1, 0, 0}, // #676
{DBGFIELD("COPY") 1, false, false, 1, 1, 1, 1, 0, 0}, // #677
{DBGFIELD("t2MOVCCi_t2MOVCCi16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #678
{DBGFIELD("t2MOVi_t2MOVi16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #679
{DBGFIELD("t2ABS") 0, false, false, 0, 0, 0, 1, 0, 0}, // #680
{DBGFIELD("t2USAD8_t2USADA8") 1, false, false, 18, 1, 3, 1, 0, 0}, // #681
{DBGFIELD("t2SDIV_t2UDIV") 1, false, false, 99, 1, 552, 1, 0, 0}, // #682
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #683
{DBGFIELD("LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #684
{DBGFIELD("LDRBT_POST") 2, false, false, 1, 2, 50, 2, 0, 0}, // #685
{DBGFIELD("MOVsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("t2MOVSsr_t2MOVsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #687
{DBGFIELD("t2MOVsra_flag_t2MOVsrl_flag") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #688
{DBGFIELD("MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #689
{DBGFIELD("ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri") 1, false, false, 1, 1, 1, 1, 0, 1}, // #690
{DBGFIELD("CLZ_t2CLZ") 1, false, false, 1, 1, 1, 1, 0, 0}, // #691
{DBGFIELD("t2ANDri_t2BICri_t2EORri_t2ORRri") 1, false, false, 1, 1, 1, 1, 0, 1}, // #692
{DBGFIELD("t2MVNCCi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #693
{DBGFIELD("t2MVNi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #694
{DBGFIELD("t2MVNr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #695
{DBGFIELD("t2MVNs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #696
{DBGFIELD("ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr") 1, false, false, 1, 1, 1, 1, 64, 2}, // #697
{DBGFIELD("CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W") 1, false, false, 110, 2, 3, 1, 0, 0}, // #698
{DBGFIELD("t2ANDrr_t2BICrr_t2EORrr") 1, false, false, 1, 1, 1, 1, 64, 2}, // #699
{DBGFIELD("ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #700
{DBGFIELD("t2ADDSrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #701
{DBGFIELD("t2ADCrs_t2ADDrs_t2SBCrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #702
{DBGFIELD("t2ANDrs_t2BICrs_t2EORrs_t2ORRrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #703
{DBGFIELD("t2RSBrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("ADDSrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #705
{DBGFIELD("ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #706
{DBGFIELD("ADR") 1, false, false, 1, 1, 1, 1, 0, 1}, // #707
{DBGFIELD("MVNi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #708
{DBGFIELD("MVNsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #709
{DBGFIELD("t2MOVSsi_t2MOVsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #710
{DBGFIELD("ASRi_RORi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #711
{DBGFIELD("ASRr_RORr_LSRi_LSRr_LSLi_LSLr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #712
{DBGFIELD("CMPri_CMNri") 1, false, false, 1, 1, 1, 1, 0, 1}, // #713
{DBGFIELD("CMPrr_CMNzrr") 1, false, false, 1, 1, 1, 1, 64, 2}, // #714
{DBGFIELD("CMPrsi_CMNzrsi") 1, false, false, 18, 1, 2, 1, 0, 1}, // #715
{DBGFIELD("CMPrsr_CMNzrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #717
{DBGFIELD("RBIT_REV_REV16_REVSH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #718
{DBGFIELD("RRX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #719
{DBGFIELD("TSTri") 1, false, false, 1, 1, 1, 1, 0, 1}, // #720
{DBGFIELD("TSTrr") 1, false, false, 1, 1, 1, 1, 64, 2}, // #721
{DBGFIELD("TSTrsi") 1, false, false, 18, 1, 2, 1, 0, 1}, // #722
{DBGFIELD("TSTrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("MRS_MRSbanked_MRSsys") 0, false, false, 0, 0, 558, 2, 0, 0}, // #724
{DBGFIELD("MSR_MSRbanked_MSRi") 0, false, false, 0, 0, 558, 2, 0, 0}, // #725
{DBGFIELD("SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW") 0, false, false, 0, 0, 0, 1, 0, 0}, // #726
{DBGFIELD("t2STREX_t2STREXB_t2STREXD_t2STREXH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #727
{DBGFIELD("STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #728
{DBGFIELD("t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #729
{DBGFIELD("VABDfd_VABDhd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #730
{DBGFIELD("VABDfq_VABDhq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #731
{DBGFIELD("VABSD") 1, false, false, 20, 1, 3, 1, 0, 0}, // #732
{DBGFIELD("VABSH") 1, false, false, 20, 1, 3, 1, 0, 0}, // #733
{DBGFIELD("VABSS") 1, false, false, 20, 1, 3, 1, 0, 0}, // #734
{DBGFIELD("VABShd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #735
{DBGFIELD("VABShq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #736
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #737
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #738
{DBGFIELD("VADDH_VSUBH") 1, false, false, 20, 1, 16, 1, 0, 0}, // #739
{DBGFIELD("VADDfd_VSUBfd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #740
{DBGFIELD("VADDhd_VSUBhd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #741
{DBGFIELD("VADDfq_VSUBfq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #742
{DBGFIELD("VADDhq_VSUBhq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #743
{DBGFIELD("VLDRH") 1, false, false, 2, 1, 16, 1, 0, 0}, // #744
{DBGFIELD("VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #745
{DBGFIELD("VSTRH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #746
{DBGFIELD("VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #747
{DBGFIELD("VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 108, 2, 585, 1, 113, 1}, // #748
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #749
{DBGFIELD("VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #750
{DBGFIELD("VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #751
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #752
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #753
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #754
{DBGFIELD("VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #755
{DBGFIELD("VANDd_VBICd_VEORd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #756
{DBGFIELD("VANDq_VBICq_VEORq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #757
{DBGFIELD("VBICiv2i32_VBICiv4i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #758
{DBGFIELD("VBICiv4i32_VBICiv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #759
{DBGFIELD("VBIFd_VBITd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #760
{DBGFIELD("VBSLd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #761
{DBGFIELD("VBIFq_VBITq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #762
{DBGFIELD("VBSLq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #763
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #764
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #765
{DBGFIELD("VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #766
{DBGFIELD("VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #767
{DBGFIELD("VCMPEH_VCMPEZH_VCMPH_VCMPZH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("VDUP16d_VDUP32d_VDUP8d") 2, false, false, 112, 2, 33, 1, 0, 0}, // #769
{DBGFIELD("VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS") 1, false, false, 20, 1, 3, 1, 0, 0}, // #770
{DBGFIELD("VFMAhd_VFMShd") 1, false, false, 20, 1, 565, 1, 111, 2}, // #771
{DBGFIELD("VFMAhq_VFMShq") 1, false, false, 20, 1, 565, 1, 111, 2}, // #772
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #773
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #774
{DBGFIELD("VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 20, 1, 16, 1, 0, 0}, // #775
{DBGFIELD("VPMAXf_VPMAXh_VPMINf_VPMINh") 1, false, false, 20, 1, 16, 1, 0, 0}, // #776
{DBGFIELD("VNEGH") 1, false, false, 20, 1, 3, 1, 0, 0}, // #777
{DBGFIELD("VNEGhd") 1, false, false, 20, 1, 3, 1, 0, 0}, // #778
{DBGFIELD("VNEGhq") 1, false, false, 20, 1, 3, 1, 0, 0}, // #779
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d") 1, false, false, 20, 1, 3, 1, 0, 0}, // #780
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q") 1, false, false, 20, 1, 3, 1, 0, 0}, // #781
{DBGFIELD("VPADDi16_VPADDi32_VPADDi8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #782
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8") 1, false, false, 108, 2, 562, 1, 109, 1}, // #783
{DBGFIELD("VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #784
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #785
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #786
{DBGFIELD("VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #788
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #789
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #790
{DBGFIELD("VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #791
{DBGFIELD("VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #792
{DBGFIELD("VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #793
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16") 1, false, false, 108, 2, 16, 1, 0, 0}, // #794
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #795
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #796
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T") 1, false, false, 4, 1, 3, 1, 0, 0}, // #797
{DBGFIELD("VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q") 1, false, false, 4, 1, 9, 1, 0, 0}, // #798
{DBGFIELD("VST1d64QPseudo") 1, false, false, 4, 1, 9, 1, 0, 0}, // #799
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #800
{DBGFIELD("VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #801
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #802
{DBGFIELD("VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #803
{DBGFIELD("VST2q16_VST2q32_VST2q8") 2, false, false, 132, 2, 9, 1, 0, 0}, // #804
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #805
{DBGFIELD("VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #806
{DBGFIELD("VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #807
{DBGFIELD("VST2LNq16_VST2LNq32") 2, false, false, 132, 2, 3, 1, 0, 0}, // #808
{DBGFIELD("VST2LNqAsm_16_VST2LNqAsm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #809
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #810
{DBGFIELD("VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #811
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #812
{DBGFIELD("VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #813
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #814
{DBGFIELD("VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #815
{DBGFIELD("VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #816
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #817
{DBGFIELD("VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #818
{DBGFIELD("VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #819
{DBGFIELD("VST3LNqAsm_16_VST3LNqAsm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #820
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #821
{DBGFIELD("VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #822
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #823
{DBGFIELD("VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #824
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #825
{DBGFIELD("VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #826
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8") 2, false, false, 132, 2, 9, 1, 0, 0}, // #827
{DBGFIELD("VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #828
{DBGFIELD("VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo") 2, false, false, 132, 2, 9, 1, 0, 0}, // #829
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8") 2, false, false, 132, 2, 3, 1, 0, 0}, // #830
{DBGFIELD("VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #831
{DBGFIELD("VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo") 2, false, false, 132, 2, 3, 1, 0, 0}, // #832
{DBGFIELD("VST4LNq16_VST4LNq32") 2, false, false, 132, 2, 3, 1, 0, 0}, // #833
{DBGFIELD("VST4LNqAsm_16_VST4LNqAsm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #834
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD") 3, false, false, 134, 3, 8, 2, 0, 0}, // #835
{DBGFIELD("VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #836
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #837
{DBGFIELD("VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #838
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD") 3, false, false, 134, 3, 23, 2, 0, 0}, // #839
{DBGFIELD("VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32") 0, false, false, 0, 0, 0, 1, 0, 0}, // #840
{DBGFIELD("BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier") 0, false, false, 0, 0, 0, 1, 0, 0}, // #841
{DBGFIELD("t2HVC_tTRAP_SVC_tSVC") 0, false, false, 0, 0, 0, 1, 0, 0}, // #842
{DBGFIELD("t2UDF_tUDF_t__brkdiv0") 0, false, false, 0, 0, 0, 1, 0, 0}, // #843
{DBGFIELD("LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY") 0, false, false, 0, 0, 558, 2, 0, 0}, // #844
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE") 0, false, false, 0, 0, 558, 2, 0, 0}, // #845
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #846
{DBGFIELD("MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked") 0, false, false, 0, 0, 558, 2, 0, 0}, // #847
{DBGFIELD("FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD") 0, false, false, 0, 0, 0, 1, 0, 0}, // #848
{DBGFIELD("ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK") 0, false, false, 0, 0, 0, 1, 0, 0}, // #849
{DBGFIELD("SUBS_PC_LR") 0, false, false, 0, 0, 0, 1, 0, 0}, // #850
{DBGFIELD("B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #851
{DBGFIELD("BXJ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #852
{DBGFIELD("tBfar") 1, false, false, 3, 1, 1, 1, 0, 0}, // #853
{DBGFIELD("BL_tBL_BL_pred_tBLXi") 2, false, false, 5, 2, 1, 1, 0, 0}, // #854
{DBGFIELD("BLXi") 2, false, false, 5, 2, 1, 1, 0, 0}, // #855
{DBGFIELD("TPsoft_tTPsoft") 2, false, false, 5, 2, 1, 1, 0, 0}, // #856
{DBGFIELD("BLX_BLX_pred_tBLXNSr_tBLXr") 2, false, false, 5, 2, 2, 1, 0, 0}, // #857
{DBGFIELD("BCCi64_BCCZi64") 2, false, false, 5, 2, 2, 1, 0, 0}, // #858
{DBGFIELD("BR_JTadd_tBR_JTr_t2TBB_t2TBH") 2, false, false, 5, 2, 3, 1, 0, 0}, // #859
{DBGFIELD("BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND") 2, false, false, 5, 2, 3, 1, 0, 0}, // #860
{DBGFIELD("t2BXJ") 2, false, false, 5, 2, 3, 1, 0, 0}, // #861
{DBGFIELD("BR_JTm_i12_BR_JTm_rs") 2, false, false, 5, 2, 26, 1, 0, 0}, // #862
{DBGFIELD("tADDframe") 1, false, false, 1, 1, 1, 1, 0, 0}, // #863
{DBGFIELD("MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #864
{DBGFIELD("MOVr_MOVr_TC_tMOVSr_tMOVr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #865
{DBGFIELD("MVNCCi_MOVCCi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #866
{DBGFIELD("BMOVPCB_CALL_BMOVPCRX_CALL") 1, false, false, 1, 1, 1, 1, 0, 0}, // #867
{DBGFIELD("MOVCCr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #868
{DBGFIELD("tMOVCCr_pseudo") 1, false, false, 1, 1, 1, 1, 0, 0}, // #869
{DBGFIELD("tMVN") 1, false, false, 1, 1, 1, 1, 0, 0}, // #870
{DBGFIELD("MOVCCsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #871
{DBGFIELD("t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("LSRi_LSLi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("t2MOVCCr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("t2MOVTi16_ga_pcrel_t2MOVTi16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("t2MOVr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("tROR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("MOVPCRX_MOVPCLR") 1, false, false, 1, 1, 3, 1, 0, 0}, // #880
{DBGFIELD("tMUL") 1, false, false, 18, 1, 3, 1, 0, 0}, // #881
{DBGFIELD("SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #882
{DBGFIELD("t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #883
{DBGFIELD("SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8") 1, false, false, 18, 1, 2, 1, 0, 0}, // #884
{DBGFIELD("t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8") 1, false, false, 18, 1, 2, 1, 0, 0}, // #885
{DBGFIELD("QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8") 1, false, false, 18, 1, 2, 1, 0, 0}, // #886
{DBGFIELD("t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8") 1, false, false, 18, 1, 2, 1, 0, 0}, // #887
{DBGFIELD("QASX_QSAX_UQASX_UQSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #888
{DBGFIELD("t2QASX_t2QSAX_t2UQASX_t2UQSAX") 2, false, false, 104, 2, 3, 1, 0, 0}, // #889
{DBGFIELD("SSAT_SSAT16_USAT_USAT16") 1, false, false, 18, 1, 2, 1, 0, 0}, // #890
{DBGFIELD("QADD_QSUB") 1, false, false, 18, 1, 2, 1, 0, 0}, // #891
{DBGFIELD("SBFX_UBFX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #892
{DBGFIELD("t2SBFX_t2UBFX") 1, false, false, 1, 1, 1, 1, 0, 0}, // #893
{DBGFIELD("SXTB_SXTH_UXTB_UXTH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #894
{DBGFIELD("t2SXTB_t2SXTH_t2UXTB_t2UXTH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #895
{DBGFIELD("tSXTB_tSXTH_tUXTB_tUXTH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #896
{DBGFIELD("SXTAB_SXTAH_UXTAB_UXTAH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #897
{DBGFIELD("t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH") 1, false, false, 18, 1, 2, 1, 0, 0}, // #898
{DBGFIELD("LDRConstPool_t2LDRConstPool_tLDRConstPool") 1, false, false, 2, 1, 9, 1, 0, 0}, // #899
{DBGFIELD("PICLDRB_PICLDRH") 1, false, false, 2, 1, 9, 1, 0, 0}, // #900
{DBGFIELD("PICLDRSB_PICLDRSH") 1, false, false, 2, 1, 9, 1, 0, 0}, // #901
{DBGFIELD("tLDR_postidx") 1, false, false, 2, 1, 9, 1, 0, 0}, // #902
{DBGFIELD("tLDRBi_tLDRHi") 1, false, false, 2, 1, 9, 1, 0, 0}, // #903
{DBGFIELD("tLDRi_tLDRpci_tLDRspi") 1, false, false, 2, 1, 9, 1, 0, 0}, // #904
{DBGFIELD("t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel") 1, false, false, 2, 1, 9, 1, 0, 0}, // #905
{DBGFIELD("LDR_PRE_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #906
{DBGFIELD("LDRB_PRE_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #907
{DBGFIELD("t2LDRB_PRE") 2, false, false, 1, 2, 69, 2, 0, 0}, // #908
{DBGFIELD("LDR_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #909
{DBGFIELD("LDRB_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #910
{DBGFIELD("LDRH_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #911
{DBGFIELD("LDRSB_PRE_LDRSH_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #912
{DBGFIELD("t2LDRH_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #913
{DBGFIELD("t2LDRSB_PRE_t2LDRSH_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #914
{DBGFIELD("t2LDR_PRE") 1, false, false, 2, 1, 69, 2, 0, 0}, // #915
{DBGFIELD("LDRD_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #916
{DBGFIELD("t2LDRD_PRE") 4, false, false, 106, 2, 555, 3, 0, 0}, // #917
{DBGFIELD("LDRT_POST_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #918
{DBGFIELD("LDRBT_POST_IMM") 2, false, false, 1, 2, 69, 2, 0, 0}, // #919
{DBGFIELD("LDRHTi") 2, false, false, 1, 2, 69, 2, 0, 0}, // #920
{DBGFIELD("LDRSBTi_LDRSHTi") 2, false, false, 1, 2, 69, 2, 0, 0}, // #921
{DBGFIELD("t2LDRB_POST") 2, false, false, 1, 2, 69, 2, 0, 0}, // #922
{DBGFIELD("LDRH_POST") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDRSB_POST_LDRSH_POST") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #924
{DBGFIELD("LDR_POST_REG") 2, false, false, 1, 2, 50, 2, 0, 0}, // #925
{DBGFIELD("LDRB_POST_REG") 2, false, false, 1, 2, 50, 2, 0, 0}, // #926
{DBGFIELD("LDRT_POST") 2, false, false, 1, 2, 50, 2, 0, 0}, // #927
{DBGFIELD("PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #928
{DBGFIELD("PLDrs_PLDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #929
{DBGFIELD("VLLDM") 1, false, false, 2, 1, 16, 1, 0, 0}, // #930
{DBGFIELD("STRBi12_PICSTRB_PICSTRH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #931
{DBGFIELD("t2STRBT") 1, false, false, 4, 1, 1, 1, 0, 0}, // #932
{DBGFIELD("STR_PRE_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #933
{DBGFIELD("STRB_PRE_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #934
{DBGFIELD("STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx") 2, false, false, 6, 2, 7, 2, 0, 0}, // #935
{DBGFIELD("STRH_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #936
{DBGFIELD("t2STRH_PRE_t2STR_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #937
{DBGFIELD("t2STRB_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #938
{DBGFIELD("t2STRD_PRE") 2, false, false, 6, 2, 7, 2, 0, 0}, // #939
{DBGFIELD("STR_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #940
{DBGFIELD("STRB_PRE_REG") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #941
{DBGFIELD("STRD_PRE") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #942
{DBGFIELD("STRT_POST_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #943
{DBGFIELD("STRBT_POST_IMM") 2, false, false, 6, 2, 7, 2, 0, 0}, // #944
{DBGFIELD("t2STRB_POST") 2, false, false, 6, 2, 7, 2, 0, 0}, // #945
{DBGFIELD("STRBT_POST_REG_STRB_POST_REG") 2, false, false, 40, 2, 13, 2, 0, 0}, // #946
{DBGFIELD("VLSTM") 1, false, false, 4, 1, 16, 1, 0, 0}, // #947
{DBGFIELD("VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #948
{DBGFIELD("VTOSLS_VTOUHS_VTOULS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #949
{DBGFIELD("VJCVT") 1, false, false, 20, 1, 16, 1, 0, 0}, // #950
{DBGFIELD("VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #951
{DBGFIELD("VSQRTH") 1, false, false, 102, 2, 42, 1, 0, 0}, // #952
{DBGFIELD("VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8") 1, false, false, 20, 1, 16, 1, 0, 0}, // #953
{DBGFIELD("VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI") 1, false, false, 20, 1, 554, 1, 0, 0}, // #954
{DBGFIELD("FCONSTD") 1, false, false, 20, 1, 3, 1, 0, 0}, // #955
{DBGFIELD("FCONSTH") 1, false, false, 20, 1, 3, 1, 0, 0}, // #956
{DBGFIELD("FCONSTS") 1, false, false, 20, 1, 3, 1, 0, 0}, // #957
{DBGFIELD("VMOVHcc_VMOVH") 1, false, false, 20, 1, 3, 1, 0, 0}, // #958
{DBGFIELD("VINSH") 1, false, false, 20, 1, 3, 1, 0, 0}, // #959
{DBGFIELD("VSTMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #960
{DBGFIELD("VSTMSDB_UPD_VSTMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #961
{DBGFIELD("VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #962
{DBGFIELD("VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8") 1, false, false, 20, 1, 3, 1, 0, 0}, // #963
{DBGFIELD("VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 20, 1, 3, 1, 0, 0}, // #964
{DBGFIELD("VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("VMULv2i32_VMULslv2i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #971
{DBGFIELD("VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8") 1, false, false, 108, 2, 3, 1, 0, 0}, // #977
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #978
{DBGFIELD("VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #979
{DBGFIELD("VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 108, 2, 9, 1, 0, 0}, // #980
{DBGFIELD("VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 108, 2, 16, 1, 0, 0}, // #981
{DBGFIELD("VPADDh") 1, false, false, 20, 1, 16, 1, 0, 0}, // #982
{DBGFIELD("VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed") 1, false, false, 20, 1, 16, 1, 0, 0}, // #983
{DBGFIELD("VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed") 1, false, false, 20, 1, 16, 1, 0, 0}, // #984
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd") 1, false, false, 20, 1, 16, 1, 0, 0}, // #985
{DBGFIELD("VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq") 1, false, false, 20, 1, 16, 1, 0, 0}, // #986
{DBGFIELD("NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS") 1, false, false, 20, 1, 16, 1, 0, 0}, // #987
{DBGFIELD("VMULhd") 1, false, false, 20, 1, 564, 1, 0, 0}, // #988
{DBGFIELD("VMULhq") 1, false, false, 20, 1, 564, 1, 0, 0}, // #989
{DBGFIELD("VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh") 1, false, false, 20, 1, 16, 1, 0, 0}, // #990
{DBGFIELD("VMOVD0_VMOVQ0") 1, false, false, 20, 1, 3, 1, 0, 0}, // #991
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8") 2, false, false, 9, 1, 43, 2, 0, 0}, // #992
{DBGFIELD("VLD2d16_VLD2d32_VLD2d8") 2, false, false, 112, 2, 33, 1, 0, 0}, // #993
{DBGFIELD("VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 3, false, false, 114, 3, 129, 2, 0, 0}, // #994
{DBGFIELD("VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo") 6, false, false, 117, 2, 578, 3, 0, 0}, // #995
{DBGFIELD("VLD3LNd32_UPD_VLD3LNq32_UPD") 9, false, false, 119, 3, 581, 4, 0, 0}, // #996
{DBGFIELD("VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #997
{DBGFIELD("VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo") 8, false, false, 122, 2, 578, 4, 0, 0}, // #998
{DBGFIELD("VLD4LNd32_UPD_VLD4LNq32_UPD") 12, false, false, 124, 3, 580, 5, 0, 0}, // #999
{DBGFIELD("VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD") 3, false, false, 114, 3, 129, 2, 0, 0}, // #1000
{DBGFIELD("AESD_AESE_AESIMC_AESMC") 1, false, false, 110, 2, 3, 1, 0, 0}, // #1001
{DBGFIELD("SHA1SU0") 2, false, false, 9, 1, 26, 1, 0, 0}, // #1002
{DBGFIELD("SHA1H_SHA1SU1") 1, false, false, 110, 2, 3, 1, 0, 0}, // #1003
{DBGFIELD("SHA1C_SHA1M_SHA1P") 2, false, false, 137, 2, 26, 1, 0, 0}, // #1004
{DBGFIELD("SHA256SU0") 1, false, false, 110, 2, 3, 1, 0, 0}, // #1005
{DBGFIELD("SHA256H_SHA256H2_SHA256SU1") 2, false, false, 137, 2, 26, 1, 0, 0}, // #1006
{DBGFIELD("t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("t2LDMDB_t2LDMIA_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("t2LDRConstPool_tLDRConstPool") 1, false, false, 2, 1, 9, 1, 0, 0}, // #1010
{DBGFIELD("tLDRLIT_ga_abs") 1, false, false, 2, 1, 9, 1, 0, 0}, // #1011
{DBGFIELD("tLDRLIT_ga_pcrel") 1, false, false, 2, 1, 9, 1, 0, 0}, // #1012
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH") 0, false, false, 0, 0, 558, 2, 0, 0}, // #1013
{DBGFIELD("t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1014
{DBGFIELD("t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("tMOVSr_tMOVr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1016
{DBGFIELD("tMOVi8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1017
{DBGFIELD("t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR") 0, false, false, 0, 0, 558, 2, 0, 0}, // #1018
{DBGFIELD("t2CLREX") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1019
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX") 2, false, false, 24, 1, 550, 2, 97, 6}, // #1020
{DBGFIELD("t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1021
{DBGFIELD("t2CDP_t2CDP2") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1022
{DBGFIELD("t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2") 0, false, false, 0, 0, 558, 2, 0, 0}, // #1023
{DBGFIELD("t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE") 0, false, false, 0, 0, 558, 2, 0, 0}, // #1024
{DBGFIELD("tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1025
{DBGFIELD("t2UDF_tUDF") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1026
{DBGFIELD("tBKPT_t2DBG") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1027
{DBGFIELD("Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1028
{DBGFIELD("CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1029
{DBGFIELD("JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1030
{DBGFIELD("MEMCPY") 0, false, false, 0, 0, 558, 2, 0, 0}, // #1031
{DBGFIELD("VSETLNi32") 2, false, false, 112, 2, 33, 1, 0, 0}, // #1032
{DBGFIELD("VGETLNi32") 2, false, false, 1, 2, 26, 1, 0, 0}, // #1033
{DBGFIELD("VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8") 0, false, false, 0, 0, 0, 1, 0, 0}, // #1034
{DBGFIELD("VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1035
{DBGFIELD("VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1036
{DBGFIELD("VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1037
{DBGFIELD("VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1038
{DBGFIELD("VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1039
{DBGFIELD("VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1040
{DBGFIELD("VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1041
{DBGFIELD("VMOVD0") 1, false, false, 20, 1, 3, 1, 0, 0}, // #1042
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("A57Write_2cyc_1M_ReadALU") 1, false, false, 18, 1, 2, 1, 0, 1}, // #1045
{DBGFIELD("SwiftWriteP0ThreeCycleThreeUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("SwiftWriteP01TwoCycle_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("A57Write_2cyc_1I_ReadDefault") 1, false, false, 1, 1, 2, 1, 0, 0}, // #1048
{DBGFIELD("A57Write_2cyc_1M_ReadDefault") 1, false, false, 18, 1, 2, 1, 0, 0}, // #1049
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("SwiftWriteP01TwoCycle_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("WriteALU_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("WriteALU_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("A57Write_2cyc_1I_ReadALU") 1, false, false, 1, 1, 2, 1, 0, 1}, // #1077
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("SwiftWriteP01TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("A57Write_2cyc_1I") 1, false, false, 1, 1, 2, 1, 0, 0}, // #1089
{DBGFIELD("A57Write_2cyc_1M") 1, false, false, 18, 1, 2, 1, 0, 0}, // #1090
{DBGFIELD("WriteALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp)_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
{DBGFIELD("A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1110
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1111
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1112
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1113
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1114
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1115
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1116
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1117
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1118
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1119
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1120
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1121
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1122
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1123
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1124
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1125
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1126
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1127
{DBGFIELD("SwiftWriteP01OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1128
{DBGFIELD("A57Write_1cyc_1I") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1129
{DBGFIELD("(SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1130
{DBGFIELD("SwiftWriteP0OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1131
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1132
{DBGFIELD("SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1133
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1134
{DBGFIELD("SwiftWriteP0FourCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1135
{DBGFIELD("A57Write_5cyc_1I_1L") 2, false, false, 1, 2, 16, 1, 0, 0}, // #1136
{DBGFIELD("A57Write_4cyc_1L") 1, false, false, 2, 1, 9, 1, 0, 0}, // #1137
{DBGFIELD("A57Write_5cyc_1I_1M") 2, false, false, 104, 2, 16, 1, 0, 0}, // #1138
{DBGFIELD("A57Write_3cyc_1I_1M") 2, false, false, 104, 2, 3, 1, 0, 0}, // #1139
{DBGFIELD("A57Write_4cyc_1I_1L_1M_A57WrBackThree") 3, false, false, 139, 3, 167, 2, 0, 0}, // #1140
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackTwo") 2, false, false, 1, 2, 50, 2, 0, 0}, // #1141
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L") 4, false, false, 106, 2, 57, 2, 0, 0}, // #1142
{DBGFIELD("A57Write_4cyc_1L_A57Write_4cyc_1L") 2, false, false, 98, 1, 49, 2, 0, 0}, // #1143
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo") 4, false, false, 106, 2, 49, 3, 0, 0}, // #1144
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne") 4, false, false, 106, 2, 555, 3, 0, 0}, // #1145
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1146
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1147
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1148
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1149
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1150
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1151
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1152
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1153
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1154
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1155
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1156
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1157
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1158
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1159
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1160
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1161
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1162
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1163
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1164
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1165
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1166
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1167
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1168
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1169
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1170
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1171
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1172
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1173
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1174
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1175
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1176
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1177
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 4, false, false, 106, 2, 49, 2, 0, 0}, // #1178
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 8, false, false, 124, 2, 55, 4, 0, 0}, // #1179
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 12, false, false, 142, 2, 63, 6, 0, 0}, // #1180
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 16, false, false, 144, 2, 73, 8, 0, 0}, // #1181
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 20, false, false, 146, 2, 85, 10, 0, 0}, // #1182
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 24, false, false, 148, 2, 99, 12, 0, 0}, // #1183
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 28, false, false, 150, 2, 115, 14, 0, 0}, // #1184
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 32, false, false, 152, 2, 586, 16, 0, 0}, // #1185
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L") 2, false, false, 98, 1, 43, 2, 0, 0}, // #1186
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L") 4, false, false, 122, 1, 47, 4, 0, 0}, // #1187
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L") 6, false, false, 143, 1, 53, 6, 0, 0}, // #1188
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 8, false, false, 145, 1, 61, 8, 0, 0}, // #1189
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 10, false, false, 147, 1, 71, 10, 0, 0}, // #1190
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 12, false, false, 149, 1, 83, 12, 0, 0}, // #1191
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 14, false, false, 151, 1, 97, 14, 0, 0}, // #1192
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 16, false, false, 153, 1, 113, 16, 0, 0}, // #1193
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1194
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1195
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1196
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1197
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1198
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1199
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1200
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1201
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1202
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1203
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1204
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1205
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1206
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1207
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1208
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1209
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1210
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1211
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1212
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1213
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1214
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1215
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1216
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1217
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1218
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1219
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1220
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1221
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1222
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1223
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1224
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1225
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I") 4, false, false, 106, 2, 46, 3, 0, 0}, // #1226
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 8, false, false, 124, 2, 46, 5, 0, 0}, // #1227
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 12, false, false, 142, 2, 52, 7, 0, 0}, // #1228
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 16, false, false, 144, 2, 60, 9, 0, 0}, // #1229
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 20, false, false, 146, 2, 70, 11, 0, 0}, // #1230
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 24, false, false, 148, 2, 82, 13, 0, 0}, // #1231
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 28, false, false, 150, 2, 96, 15, 0, 0}, // #1232
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 32, false, false, 152, 2, 112, 17, 0, 0}, // #1233
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1234
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1235
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1236
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1237
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1238
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1239
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1240
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1241
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1242
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1243
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1244
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1245
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1246
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1247
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1248
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1249
{DBGFIELD("A57Write_3cyc_1I_1S") 2, false, false, 6, 2, 3, 1, 0, 0}, // #1250
{DBGFIELD("A57Write_1cyc_1S") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1251
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1252
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1253
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1254
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1255
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1256
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1257
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1258
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1259
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1260
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1261
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1262
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1263
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1264
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1265
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1266
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1267
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1268
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1269
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1270
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1271
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1272
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1273
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1274
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1275
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1276
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1277
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1278
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1279
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1280
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1281
{DBGFIELD("A57Write_2cyc_1S") 1, false, false, 4, 1, 2, 1, 0, 0}, // #1282
{DBGFIELD("A57Write_3cyc_1S") 1, false, false, 4, 1, 3, 1, 0, 0}, // #1283
{DBGFIELD("A57Write_4cyc_1S") 1, false, false, 4, 1, 9, 1, 0, 0}, // #1284
{DBGFIELD("A57Write_5cyc_1S") 1, false, false, 4, 1, 16, 1, 0, 0}, // #1285
{DBGFIELD("A57Write_6cyc_1S") 1, false, false, 4, 1, 26, 1, 0, 0}, // #1286
{DBGFIELD("A57Write_7cyc_1S") 1, false, false, 4, 1, 40, 1, 0, 0}, // #1287
{DBGFIELD("A57Write_8cyc_1S") 1, false, false, 4, 1, 33, 1, 0, 0}, // #1288
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1289
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1290
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1291
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1292
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1293
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1294
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1295
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1296
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1297
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1298
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1299
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1300
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1301
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1302
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1303
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1304
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1305
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1306
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1307
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1308
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1309
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1310
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1311
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1312
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1313
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1314
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1315
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1316
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1317
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1318
{DBGFIELD("A57WrBackOne_A57Write_1cyc_1S_1I") 2, false, false, 6, 2, 7, 2, 0, 0}, // #1319
{DBGFIELD("A57WrBackOne_A57Write_2cyc_1S_1I") 2, false, false, 6, 2, 1, 2, 0, 0}, // #1320
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1S_1I") 2, false, false, 6, 2, 23, 2, 0, 0}, // #1321
{DBGFIELD("A57WrBackOne_A57Write_4cyc_1S_1I") 2, false, false, 6, 2, 8, 2, 0, 0}, // #1322
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1S_1I") 2, false, false, 6, 2, 602, 2, 0, 0}, // #1323
{DBGFIELD("A57WrBackOne_A57Write_6cyc_1S_1I") 2, false, false, 6, 2, 25, 2, 0, 0}, // #1324
{DBGFIELD("A57WrBackOne_A57Write_7cyc_1S_1I") 2, false, false, 6, 2, 604, 2, 0, 0}, // #1325
{DBGFIELD("A57WrBackOne_A57Write_8cyc_1S_1I") 2, false, false, 6, 2, 37, 2, 0, 0}, // #1326
{DBGFIELD("A57Write_6cyc_1V_1X") 2, false, false, 154, 2, 26, 1, 0, 0}, // #1327
{DBGFIELD("A57Write_3cyc_1X") 1, false, false, 108, 2, 3, 1, 0, 0}, // #1328
{DBGFIELD("A57Write_4cyc_1W") 1, false, false, 110, 2, 9, 1, 0, 0}, // #1329
{DBGFIELD("A57Write_5cyc_1W") 1, false, false, 110, 2, 16, 1, 0, 0}, // #1330
{DBGFIELD("A57Write_6cyc_1W") 1, false, false, 110, 2, 26, 1, 0, 0}, // #1331
{DBGFIELD("A57Write_4cyc_1W_anonymous_4022") 1, false, false, 110, 2, 9, 1, 114, 1}, // #1332
{DBGFIELD("A57Write_5cyc_1W_anonymous_4024") 1, false, false, 110, 2, 16, 1, 115, 1}, // #1333
{DBGFIELD("A57Write_5cyc_1W_anonymous_4017") 1, false, false, 110, 2, 16, 1, 116, 1}, // #1334
{DBGFIELD("A57Write_6cyc_1W_anonymous_4019") 1, false, false, 110, 2, 26, 1, 117, 1}, // #1335
{DBGFIELD("SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1336
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1337
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1338
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1339
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1340
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1341
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1342
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1343
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1344
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1345
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1346
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1347
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1348
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1349
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1350
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1351
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1352
{DBGFIELD("R52WriteLM5Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1353
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1354
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1355
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1356
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1357
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1358
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1359
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1360
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1361
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1362
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L") 2, false, false, 98, 1, 66, 2, 0, 0}, // #1363
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L") 4, false, false, 122, 1, 426, 4, 0, 0}, // #1364
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L") 6, false, false, 143, 1, 441, 6, 0, 0}, // #1365
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L") 8, false, false, 145, 1, 606, 8, 0, 0}, // #1366
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L") 10, false, false, 147, 1, 614, 10, 0, 0}, // #1367
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L") 12, false, false, 149, 1, 624, 12, 0, 0}, // #1368
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L") 14, false, false, 151, 1, 636, 14, 0, 0}, // #1369
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L") 16, false, false, 153, 1, 650, 16, 0, 0}, // #1370
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L") 2, false, false, 98, 1, 57, 2, 0, 0}, // #1371
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 4, false, false, 122, 1, 65, 4, 0, 0}, // #1372
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 6, false, false, 143, 1, 75, 6, 0, 0}, // #1373
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 8, false, false, 145, 1, 87, 8, 0, 0}, // #1374
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 10, false, false, 147, 1, 101, 10, 0, 0}, // #1375
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 12, false, false, 149, 1, 117, 12, 0, 0}, // #1376
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L") 14, false, false, 151, 1, 588, 14, 0, 0}, // #1377
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L") 16, false, false, 153, 1, 666, 16, 0, 0}, // #1378
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1379
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1380
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1381
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1382
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1383
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1384
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1385
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1386
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1387
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1388
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1389
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1390
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1391
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1392
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1393
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1394
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1395
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I") 4, false, false, 106, 2, 682, 3, 0, 0}, // #1396
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I") 8, false, false, 124, 2, 685, 5, 0, 0}, // #1397
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I") 12, false, false, 142, 2, 690, 7, 0, 0}, // #1398
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I") 16, false, false, 144, 2, 697, 9, 0, 0}, // #1399
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I") 20, false, false, 146, 2, 706, 11, 0, 0}, // #1400
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I") 24, false, false, 148, 2, 717, 13, 0, 0}, // #1401
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I") 28, false, false, 150, 2, 730, 15, 0, 0}, // #1402
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I") 32, false, false, 152, 2, 745, 17, 0, 0}, // #1403
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 4, false, false, 106, 2, 762, 3, 0, 0}, // #1404
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 8, false, false, 124, 2, 765, 5, 0, 0}, // #1405
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 12, false, false, 142, 2, 770, 7, 0, 0}, // #1406
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 16, false, false, 144, 2, 777, 9, 0, 0}, // #1407
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 20, false, false, 146, 2, 786, 11, 0, 0}, // #1408
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 24, false, false, 148, 2, 797, 13, 0, 0}, // #1409
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 28, false, false, 150, 2, 810, 15, 0, 0}, // #1410
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I") 32, false, false, 152, 2, 825, 17, 0, 0}, // #1411
{DBGFIELD("SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1412
{DBGFIELD("A57Write_10cyc_1S") 1, false, false, 4, 1, 28, 1, 0, 0}, // #1413
{DBGFIELD("A57Write_12cyc_1S") 1, false, false, 4, 1, 613, 1, 0, 0}, // #1414
{DBGFIELD("A57Write_14cyc_1S") 1, false, false, 4, 1, 623, 1, 0, 0}, // #1415
{DBGFIELD("A57Write_16cyc_1S") 1, false, false, 4, 1, 635, 1, 0, 0}, // #1416
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1417
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1418
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1419
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1420
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1421
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1422
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1423
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1424
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1425
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1426
{DBGFIELD("A57WrBackOne_A57Write_10cyc_1S_1I") 2, false, false, 6, 2, 842, 2, 0, 0}, // #1427
{DBGFIELD("A57WrBackOne_A57Write_12cyc_1S_1I") 2, false, false, 6, 2, 844, 2, 0, 0}, // #1428
{DBGFIELD("A57WrBackOne_A57Write_14cyc_1S_1I") 2, false, false, 6, 2, 846, 2, 0, 0}, // #1429
{DBGFIELD("A57WrBackOne_A57Write_16cyc_1S_1I") 2, false, false, 6, 2, 848, 2, 0, 0}, // #1430
{DBGFIELD("A57Write_4cyc_1W_anonymous_4027") 1, false, false, 110, 2, 9, 1, 118, 1}, // #1431
{DBGFIELD("A57Write_5cyc_1W_anonymous_4029") 1, false, false, 110, 2, 16, 1, 119, 1}, // #1432
{DBGFIELD("A57Write_4cyc_1I_1M") 2, false, false, 104, 2, 9, 1, 0, 0}, // #1433
{DBGFIELD("A57Write_2cyc_1I_1M") 2, false, false, 104, 2, 2, 1, 0, 0}, // #1434
{DBGFIELD("A57Write_5cyc_1I_1L_A57WrBackTwo") 2, false, false, 1, 2, 850, 2, 0, 0}, // #1435
{DBGFIELD("A57Write_4cyc_1L_A57WrBackTwo") 1, false, false, 2, 1, 50, 2, 0, 0}, // #1436
{DBGFIELD("A57Write_4cyc_1L_A57WrBackOne") 1, false, false, 2, 1, 69, 2, 0, 0}, // #1437
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo") 4, false, false, 106, 2, 852, 3, 0, 0}, // #1438
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackOne") 2, false, false, 1, 2, 69, 2, 0, 0}, // #1439
{DBGFIELD("A57WrBackTwo_A57Write_3cyc_1I_1S") 2, false, false, 6, 2, 2, 2, 0, 0}, // #1440
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1I_1S") 2, false, false, 6, 2, 23, 2, 0, 0}, // #1441
{DBGFIELD("A57WrBackTwo_A57Write_1cyc_1S_1M") 2, false, false, 40, 2, 13, 2, 0, 0}, // #1442
{DBGFIELD("A57Write_4cyc_1W_anonymous_4012") 1, false, false, 110, 2, 9, 1, 120, 1}, // #1443
{DBGFIELD("A57Write_5cyc_1W_anonymous_4014") 1, false, false, 110, 2, 16, 1, 121, 1}, // #1444
}; // CortexA57ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexM4ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("IIC_iALUi_WriteALU_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #1
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #2
{DBGFIELD("IIC_iALUsr_WriteALUsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #3
{DBGFIELD("IIC_iALUsr_WriteALUSsr_ReadALUsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #4
{DBGFIELD("IIC_Br_WriteBr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #5
{DBGFIELD("IIC_Br_WriteBrTbl") 1, false, false, 3, 1, 1, 1, 0, 0}, // #6
{DBGFIELD("IIC_iLoad_mBr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("IIC_iLoad_i") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #8
{DBGFIELD("IIC_iLoadiALU") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #9
{DBGFIELD("IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 3, 1, 2, 1, 64, 3}, // #10
{DBGFIELD("IIC_iCMOVi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #11
{DBGFIELD("IIC_iMOVi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #12
{DBGFIELD("IIC_iCMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #13
{DBGFIELD("IIC_iCMOVr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #14
{DBGFIELD("IIC_iCMOVsr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("IIC_iMOVix2addpc") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #16
{DBGFIELD("IIC_iMOVix2ld") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #17
{DBGFIELD("IIC_iMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #18
{DBGFIELD("IIC_iMOVsi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #19
{DBGFIELD("IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #20
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #21
{DBGFIELD("IIC_iLoad_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #22
{DBGFIELD("IIC_iLoad_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #23
{DBGFIELD("IIC_iStore_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #24
{DBGFIELD("IIC_iStore_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #25
{DBGFIELD("IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 2, false, false, 13, 1, 12, 2, 67, 4}, // #26
{DBGFIELD("IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 2, false, false, 13, 1, 7, 2, 64, 2}, // #27
{DBGFIELD("IIC_iStore_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("IIC_Br") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #29
{DBGFIELD("IIC_VMOVImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #30
{DBGFIELD("IIC_fpUNA64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #31
{DBGFIELD("IIC_fpUNA16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("IIC_fpUNA32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #33
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALUsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #34
{DBGFIELD("IIC_iCMOVsi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #35
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #36
{DBGFIELD("IIC_iStore_ru_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #37
{DBGFIELD("IIC_iALUr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #38
{DBGFIELD("IIC_iALUi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #39
{DBGFIELD("IIC_iLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("IIC_iPop_Br_WriteBrL") 1, false, false, 3, 1, 1, 1, 0, 0}, // #41
{DBGFIELD("IIC_iALUsr_WriteALUsr_ReadALUsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #42
{DBGFIELD("IIC_iBITi_WriteALU_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #43
{DBGFIELD("IIC_iBITr_WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #44
{DBGFIELD("IIC_iBITsr_WriteALUsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #45
{DBGFIELD("IIC_iBITsr_WriteALUsr_ReadALUsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #46
{DBGFIELD("IIC_iUNAsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #47
{DBGFIELD("IIC_Br_WriteBrL") 1, false, false, 3, 1, 1, 1, 0, 0}, // #48
{DBGFIELD("WriteBrL") 1, false, false, 3, 1, 1, 1, 0, 0}, // #49
{DBGFIELD("WriteBr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #50
{DBGFIELD("IIC_iUNAr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #51
{DBGFIELD("IIC_iCMPi_WriteCMP_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #52
{DBGFIELD("IIC_iCMPr_WriteCMP_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #53
{DBGFIELD("IIC_iCMPsr_WriteCMPsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #54
{DBGFIELD("IIC_iCMPsr_WriteCMPsr_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #55
{DBGFIELD("IIC_fpSTAT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #56
{DBGFIELD("IIC_iLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #57
{DBGFIELD("IIC_iLoad_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #58
{DBGFIELD("IIC_iLoad_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #59
{DBGFIELD("IIC_iLoad_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #60
{DBGFIELD("IIC_iLoad_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #61
{DBGFIELD("IIC_iLoad_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #62
{DBGFIELD("IIC_iLoad_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #63
{DBGFIELD("IIC_iLoad_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #64
{DBGFIELD("IIC_iLoad_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #65
{DBGFIELD("IIC_iMOVr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #66
{DBGFIELD("IIC_iMOVsr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #67
{DBGFIELD("IIC_iMVNi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #68
{DBGFIELD("IIC_iMVNr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #69
{DBGFIELD("IIC_iMVNsr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #70
{DBGFIELD("IIC_iBITsi_WriteALUsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #71
{DBGFIELD("IIC_Preload_WritePreLd") 1, false, false, 3, 1, 1, 1, 0, 0}, // #72
{DBGFIELD("IIC_iDIV_WriteDIV") 1, false, false, 3, 1, 2, 1, 0, 0}, // #73
{DBGFIELD("IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 3, 1, 2, 1, 64, 3}, // #74
{DBGFIELD("WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 3, 1, 2, 1, 64, 3}, // #75
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 2, false, false, 13, 1, 12, 2, 67, 4}, // #76
{DBGFIELD("WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 2, false, false, 13, 1, 7, 2, 64, 2}, // #77
{DBGFIELD("WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #78
{DBGFIELD("IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #79
{DBGFIELD("IIC_iStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #80
{DBGFIELD("IIC_iStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #81
{DBGFIELD("IIC_iStore_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #82
{DBGFIELD("IIC_iStore_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #83
{DBGFIELD("IIC_iStore_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #84
{DBGFIELD("IIC_iStore_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #85
{DBGFIELD("IIC_iStore_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #86
{DBGFIELD("IIC_iStore_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #87
{DBGFIELD("IIC_iStore_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #88
{DBGFIELD("IIC_iEXTAr_WriteALUsr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #89
{DBGFIELD("IIC_iEXTr_WriteALUsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #90
{DBGFIELD("IIC_iTSTi_WriteCMP_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #91
{DBGFIELD("IIC_iTSTr_WriteCMP_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #92
{DBGFIELD("IIC_iTSTsr_WriteCMPsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #93
{DBGFIELD("IIC_iTSTsr_WriteCMPsr_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #94
{DBGFIELD("IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL") 2, false, false, 13, 1, 12, 2, 64, 2}, // #95
{DBGFIELD("WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #96
{DBGFIELD("IIC_VABAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #97
{DBGFIELD("IIC_VABAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #98
{DBGFIELD("IIC_VSUBi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #99
{DBGFIELD("IIC_VBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #100
{DBGFIELD("IIC_VBINQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #101
{DBGFIELD("IIC_VSUBi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #102
{DBGFIELD("IIC_VUNAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #103
{DBGFIELD("IIC_VUNAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #104
{DBGFIELD("IIC_VUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #105
{DBGFIELD("IIC_VUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #106
{DBGFIELD("IIC_fpALU64_WriteFPALU64") 1, false, false, 3, 1, 1, 1, 0, 0}, // #107
{DBGFIELD("IIC_fpALU16_WriteFPALU32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #108
{DBGFIELD("IIC_VBINi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #109
{DBGFIELD("IIC_VSHLiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("IIC_fpALU32_WriteFPALU32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #111
{DBGFIELD("IIC_VSUBiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #112
{DBGFIELD("IIC_VBINiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #113
{DBGFIELD("IIC_VBINiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #114
{DBGFIELD("IIC_VCNTiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #115
{DBGFIELD("IIC_VCNTiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #116
{DBGFIELD("IIC_VMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #117
{DBGFIELD("IIC_VMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("IIC_fpCMP64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("IIC_fpCMP16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #120
{DBGFIELD("IIC_fpCMP32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #122
{DBGFIELD("IIC_fpCVTSH_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #123
{DBGFIELD("IIC_fpCVTHS_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #124
{DBGFIELD("IIC_fpCVTDS_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #125
{DBGFIELD("IIC_fpCVTSD_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #126
{DBGFIELD("IIC_fpDIV64_WriteFPDIV64") 1, false, false, 3, 1, 623, 1, 0, 0}, // #127
{DBGFIELD("IIC_fpDIV16_WriteFPDIV32") 1, false, false, 3, 1, 623, 1, 0, 0}, // #128
{DBGFIELD("IIC_fpDIV32_WriteFPDIV32") 1, false, false, 3, 1, 623, 1, 0, 0}, // #129
{DBGFIELD("IIC_VMOVIS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #130
{DBGFIELD("IIC_VMOVD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #131
{DBGFIELD("IIC_VMOVQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #132
{DBGFIELD("IIC_VEXTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("IIC_VEXTQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #134
{DBGFIELD("IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 3, 1, 64, 3}, // #135
{DBGFIELD("IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 3, 1, 64, 3}, // #136
{DBGFIELD("IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 3, 1, 64, 3}, // #137
{DBGFIELD("IIC_VFMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #138
{DBGFIELD("IIC_VFMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #139
{DBGFIELD("IIC_VMOVSI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #140
{DBGFIELD("IIC_VBINi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #141
{DBGFIELD("IIC_fpCVTDI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #142
{DBGFIELD("IIC_VLD1dup_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #143
{DBGFIELD("IIC_VLD1dupu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #144
{DBGFIELD("IIC_VLD1dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #145
{DBGFIELD("IIC_VLD1dupu_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #146
{DBGFIELD("IIC_VLD1ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #147
{DBGFIELD("IIC_VLD1lnu_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #148
{DBGFIELD("IIC_VLD1ln_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #149
{DBGFIELD("IIC_VLD1_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #150
{DBGFIELD("IIC_VLD1x4_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #151
{DBGFIELD("IIC_VLD1x2u_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #152
{DBGFIELD("IIC_VLD1x3_WriteVLD3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #153
{DBGFIELD("IIC_VLD1x2u_WriteVLD3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #154
{DBGFIELD("IIC_VLD1u_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #155
{DBGFIELD("IIC_VLD1x2_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #156
{DBGFIELD("IIC_VLD1x2u_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #157
{DBGFIELD("IIC_VLD2dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #158
{DBGFIELD("IIC_VLD2dupu_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #159
{DBGFIELD("IIC_VLD2dup_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #160
{DBGFIELD("IIC_VLD2ln_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #161
{DBGFIELD("IIC_VLD2lnu_WriteVLD1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #162
{DBGFIELD("IIC_VLD2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #163
{DBGFIELD("IIC_VLD2_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #164
{DBGFIELD("IIC_VLD2u_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #165
{DBGFIELD("IIC_VLD2x2_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #166
{DBGFIELD("IIC_VLD2x2u_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #167
{DBGFIELD("IIC_VLD3dup_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #168
{DBGFIELD("IIC_VLD3dupu_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #169
{DBGFIELD("IIC_VLD3ln_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #170
{DBGFIELD("IIC_VLD3lnu_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #171
{DBGFIELD("IIC_VLD3_WriteVLD3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #172
{DBGFIELD("IIC_VLD3u_WriteVLD3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #173
{DBGFIELD("IIC_VLD4dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #174
{DBGFIELD("IIC_VLD4dup_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #175
{DBGFIELD("IIC_VLD4dupu_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #176
{DBGFIELD("IIC_VLD4ln_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #177
{DBGFIELD("IIC_VLD4lnu_WriteVLD2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #178
{DBGFIELD("IIC_VLD4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #179
{DBGFIELD("IIC_VLD4_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #180
{DBGFIELD("IIC_VLD4u_WriteVLD4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #181
{DBGFIELD("IIC_fpLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #182
{DBGFIELD("IIC_fpLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #183
{DBGFIELD("IIC_fpLoad64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #184
{DBGFIELD("IIC_fpLoad16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #185
{DBGFIELD("IIC_fpLoad32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #186
{DBGFIELD("IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 3, 1, 64, 3}, // #187
{DBGFIELD("IIC_fpMAC16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #188
{DBGFIELD("IIC_VMACi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #189
{DBGFIELD("IIC_VMACi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #190
{DBGFIELD("IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 3, 1, 64, 3}, // #191
{DBGFIELD("IIC_VMACi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #192
{DBGFIELD("IIC_VMACi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #193
{DBGFIELD("IIC_fpMOVID_WriteFPMOV") 1, false, false, 3, 1, 1, 1, 0, 0}, // #194
{DBGFIELD("IIC_fpMOVIS_WriteFPMOV") 1, false, false, 3, 1, 1, 1, 0, 0}, // #195
{DBGFIELD("IIC_VQUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #196
{DBGFIELD("IIC_VMOVN") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #197
{DBGFIELD("IIC_fpMOVSI_WriteFPMOV") 1, false, false, 3, 1, 1, 1, 0, 0}, // #198
{DBGFIELD("IIC_fpMOVDI_WriteFPMOV") 1, false, false, 3, 1, 1, 1, 0, 0}, // #199
{DBGFIELD("IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #200
{DBGFIELD("IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #201
{DBGFIELD("IIC_VMULi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #202
{DBGFIELD("IIC_VMULi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #203
{DBGFIELD("IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #204
{DBGFIELD("IIC_VFMULD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #205
{DBGFIELD("IIC_VFMULQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #206
{DBGFIELD("IIC_VMULi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #207
{DBGFIELD("IIC_VMULi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #208
{DBGFIELD("IIC_VSHLiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #209
{DBGFIELD("IIC_VPALiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("IIC_VPALiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #211
{DBGFIELD("IIC_VPBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #212
{DBGFIELD("IIC_VQUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #213
{DBGFIELD("IIC_VSHLi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #214
{DBGFIELD("IIC_VSHLi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #215
{DBGFIELD("IIC_VRECSD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #216
{DBGFIELD("IIC_VRECSQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #217
{DBGFIELD("IIC_VDOTPROD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #218
{DBGFIELD("IIC_VMOVISL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #219
{DBGFIELD("IIC_fpCVTID_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #220
{DBGFIELD("IIC_fpCVTIH_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #221
{DBGFIELD("IIC_fpCVTIS_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #222
{DBGFIELD("IIC_fpSQRT64_WriteFPSQRT64") 1, false, false, 3, 1, 623, 1, 0, 0}, // #223
{DBGFIELD("IIC_fpSQRT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("IIC_fpSQRT32_WriteFPSQRT32") 1, false, false, 3, 1, 623, 1, 0, 0}, // #225
{DBGFIELD("IIC_VST1ln_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #226
{DBGFIELD("IIC_VST1lnu_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #227
{DBGFIELD("IIC_VST1_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #228
{DBGFIELD("IIC_VST1x4_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #229
{DBGFIELD("IIC_VLD1x4u_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #230
{DBGFIELD("IIC_VST1x3_WriteVST3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #231
{DBGFIELD("IIC_VLD1x3u_WriteVST3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #232
{DBGFIELD("IIC_VLD1u_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #233
{DBGFIELD("IIC_VST1x4u_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #234
{DBGFIELD("IIC_VST1x3u_WriteVST3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #235
{DBGFIELD("IIC_VST1x2_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #236
{DBGFIELD("IIC_VLD1x2u_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #237
{DBGFIELD("IIC_VST2ln_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #238
{DBGFIELD("IIC_VST2lnu_WriteVST1") 1, false, false, 3, 1, 1, 1, 0, 0}, // #239
{DBGFIELD("IIC_VST2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #240
{DBGFIELD("IIC_VST2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #241
{DBGFIELD("IIC_VLD1u_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #242
{DBGFIELD("IIC_VST2_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #243
{DBGFIELD("IIC_VST2x2_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #244
{DBGFIELD("IIC_VST2x2u_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #245
{DBGFIELD("IIC_VLD1u_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #246
{DBGFIELD("IIC_VST3ln_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #247
{DBGFIELD("IIC_VST3lnu_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #248
{DBGFIELD("IIC_VST3lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #249
{DBGFIELD("IIC_VST3ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #250
{DBGFIELD("IIC_VST3_WriteVST3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #251
{DBGFIELD("IIC_VST3u_WriteVST3") 1, false, false, 3, 1, 1, 1, 0, 0}, // #252
{DBGFIELD("IIC_VST4ln_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #253
{DBGFIELD("IIC_VST4lnu_WriteVST2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #254
{DBGFIELD("IIC_VST4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #255
{DBGFIELD("IIC_VST4_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #256
{DBGFIELD("IIC_VST4u_WriteVST4") 1, false, false, 3, 1, 1, 1, 0, 0}, // #257
{DBGFIELD("IIC_fpStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #258
{DBGFIELD("IIC_fpStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #259
{DBGFIELD("IIC_fpStore64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #260
{DBGFIELD("IIC_fpStore16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #261
{DBGFIELD("IIC_fpStore32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #262
{DBGFIELD("IIC_VSUBiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #263
{DBGFIELD("IIC_VTB1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("IIC_VTB2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #265
{DBGFIELD("IIC_VTB3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #266
{DBGFIELD("IIC_VTB4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("IIC_VTBX1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #268
{DBGFIELD("IIC_VTBX2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #269
{DBGFIELD("IIC_VTBX3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #270
{DBGFIELD("IIC_VTBX4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #271
{DBGFIELD("IIC_fpCVTDI_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #272
{DBGFIELD("IIC_fpCVTHI_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #273
{DBGFIELD("IIC_fpCVTSI_WriteFPCVT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #274
{DBGFIELD("IIC_VPERMD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #275
{DBGFIELD("IIC_VPERMQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #276
{DBGFIELD("IIC_VPERMQ3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #277
{DBGFIELD("IIC_iUNAsi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #278
{DBGFIELD("IIC_iBITi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU") 1, false, false, 3, 1, 1, 1, 64, 2}, // #280
{DBGFIELD("IIC_iCMPi_WriteCMP") 1, false, false, 3, 1, 1, 1, 0, 0}, // #281
{DBGFIELD("IIC_iCMPr_WriteCMP") 1, false, false, 3, 1, 1, 1, 0, 0}, // #282
{DBGFIELD("IIC_iCMPsi_WriteCMPsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #283
{DBGFIELD("IIC_iALUx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #284
{DBGFIELD("WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #285
{DBGFIELD("IIC_iLoad_bh_i_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #286
{DBGFIELD("IIC_iLoad_bh_iu_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #287
{DBGFIELD("IIC_iLoad_bh_si_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #288
{DBGFIELD("IIC_iLoad_d_ru_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #289
{DBGFIELD("IIC_iLoad_d_i_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #290
{DBGFIELD("IIC_iLoad_i_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #291
{DBGFIELD("IIC_iLoad_iu_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #292
{DBGFIELD("IIC_iLoad_si_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #293
{DBGFIELD("IIC_iMVNsi_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #294
{DBGFIELD("IIC_iALUsir_WriteALUsi_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #295
{DBGFIELD("IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 3, 1, 2, 1, 64, 3}, // #296
{DBGFIELD("IIC_iMAC32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #297
{DBGFIELD("WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #298
{DBGFIELD("WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #299
{DBGFIELD("IIC_iStore_bh_i_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #300
{DBGFIELD("IIC_iStore_bh_iu_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #301
{DBGFIELD("IIC_iStore_bh_si_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #302
{DBGFIELD("IIC_iStore_d_ru_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #303
{DBGFIELD("IIC_iStore_d_r_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #304
{DBGFIELD("IIC_iStore_iu_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #305
{DBGFIELD("IIC_iStore_i_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #306
{DBGFIELD("IIC_iStore_si_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #307
{DBGFIELD("IIC_iEXTAsr_WriteALU_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #308
{DBGFIELD("IIC_iEXTr_WriteALU_ReadALU") 1, false, false, 3, 1, 1, 1, 0, 1}, // #309
{DBGFIELD("IIC_iTSTi_WriteCMP") 1, false, false, 3, 1, 1, 1, 0, 0}, // #310
{DBGFIELD("IIC_iTSTr_WriteCMP") 1, false, false, 3, 1, 1, 1, 0, 0}, // #311
{DBGFIELD("IIC_iTSTsi_WriteCMPsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #312
{DBGFIELD("IIC_iBITr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #313
{DBGFIELD("IIC_iLoad_bh_r_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #314
{DBGFIELD("IIC_iLoad_r_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #315
{DBGFIELD("IIC_iPop_WriteLd") 1, false, false, 3, 1, 2, 1, 0, 0}, // #316
{DBGFIELD("IIC_iStore_m_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #317
{DBGFIELD("IIC_iStore_bh_r_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #318
{DBGFIELD("IIC_iStore_r_WriteST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #319
{DBGFIELD("IIC_iTSTr_WriteALU") 1, false, false, 3, 1, 1, 1, 0, 0}, // #320
{DBGFIELD("ANDri_ORRri_EORri_BICri") 1, false, false, 3, 1, 1, 1, 0, 1}, // #321
{DBGFIELD("ANDrr_ORRrr_EORrr_BICrr") 1, false, false, 3, 1, 1, 1, 64, 2}, // #322
{DBGFIELD("ANDrsi_ORRrsi_EORrsi_BICrsi") 1, false, false, 3, 1, 1, 1, 0, 1}, // #323
{DBGFIELD("ANDrsr_ORRrsr_EORrsr_BICrsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #324
{DBGFIELD("MOVsra_flag_MOVsrl_flag") 1, false, false, 3, 1, 1, 1, 0, 0}, // #325
{DBGFIELD("MOVsr_MOVsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #326
{DBGFIELD("MVNsr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #327
{DBGFIELD("MOVCCsi_MOVCCsr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #328
{DBGFIELD("MVNr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #329
{DBGFIELD("MOVCCi32imm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #330
{DBGFIELD("MOVi32imm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("MOV_ga_pcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("MOV_ga_pcrel_ldr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #333
{DBGFIELD("SEL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #334
{DBGFIELD("BFC_BFI_UBFX_SBFX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #335
{DBGFIELD("MULv5_MUL_SMMUL_SMMULR") 1, false, false, 3, 1, 1, 1, 64, 2}, // #336
{DBGFIELD("MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR") 1, false, false, 3, 1, 2, 1, 64, 3}, // #337
{DBGFIELD("SMULLv5_SMULL_UMULLv5") 2, false, false, 13, 1, 7, 2, 64, 2}, // #338
{DBGFIELD("UMULL") 2, false, false, 13, 1, 12, 2, 64, 2}, // #339
{DBGFIELD("SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT") 2, false, false, 13, 1, 12, 2, 67, 4}, // #340
{DBGFIELD("SMLAD_SMLADX_SMLSD_SMLSDX") 1, false, false, 3, 1, 2, 1, 64, 3}, // #341
{DBGFIELD("SMLALD_SMLSLD") 2, false, false, 13, 1, 12, 2, 67, 4}, // #342
{DBGFIELD("SMLALDX_SMLSLDX") 2, false, false, 13, 1, 7, 2, 64, 2}, // #343
{DBGFIELD("SMUAD_SMUADX_SMUSD_SMUSDX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #344
{DBGFIELD("SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT") 1, false, false, 3, 1, 1, 1, 64, 2}, // #345
{DBGFIELD("SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT") 1, false, false, 3, 1, 2, 1, 64, 3}, // #346
{DBGFIELD("LDRi12_PICLDR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRrs") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #349
{DBGFIELD("LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #350
{DBGFIELD("SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #351
{DBGFIELD("t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH") 1, false, false, 3, 1, 1, 1, 0, 1}, // #352
{DBGFIELD("t2MOVCCi32imm") 1, false, false, 3, 1, 1, 1, 0, 0}, // #353
{DBGFIELD("t2MOVi32imm") 1, false, false, 3, 1, 1, 1, 0, 0}, // #354
{DBGFIELD("t2MOV_ga_pcrel") 1, false, false, 3, 1, 1, 1, 0, 0}, // #355
{DBGFIELD("t2MOVi16_ga_pcrel") 1, false, false, 3, 1, 1, 1, 0, 0}, // #356
{DBGFIELD("t2SEL") 1, false, false, 3, 1, 1, 1, 0, 0}, // #357
{DBGFIELD("t2BFC_t2UBFX_t2SBFX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #358
{DBGFIELD("t2BFI") 1, false, false, 3, 1, 1, 1, 0, 0}, // #359
{DBGFIELD("QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #360
{DBGFIELD("SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #361
{DBGFIELD("t2SSAT_t2SSAT16_t2USAT_t2USAT16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #362
{DBGFIELD("SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #363
{DBGFIELD("t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #364
{DBGFIELD("SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #365
{DBGFIELD("SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #366
{DBGFIELD("t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #367
{DBGFIELD("t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH") 1, false, false, 3, 1, 1, 1, 0, 1}, // #368
{DBGFIELD("USAD8") 1, false, false, 3, 1, 1, 1, 64, 2}, // #369
{DBGFIELD("USADA8") 1, false, false, 3, 1, 1, 1, 64, 2}, // #370
{DBGFIELD("SMUSD_SMUSDX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #371
{DBGFIELD("t2MUL_t2SMMUL_t2SMMULR") 1, false, false, 3, 1, 1, 1, 64, 2}, // #372
{DBGFIELD("t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT") 1, false, false, 3, 1, 1, 1, 64, 2}, // #373
{DBGFIELD("t2SMUSD_t2SMUSDX") 1, false, false, 3, 1, 2, 1, 64, 3}, // #374
{DBGFIELD("t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR") 1, false, false, 3, 1, 2, 1, 64, 3}, // #375
{DBGFIELD("t2SMUAD_t2SMUADX") 1, false, false, 3, 1, 2, 1, 64, 3}, // #376
{DBGFIELD("SMLSD_SMLSDX") 1, false, false, 3, 1, 2, 1, 64, 3}, // #377
{DBGFIELD("t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #378
{DBGFIELD("t2SMLSD_t2SMLSDX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #379
{DBGFIELD("t2SMLAD_t2SMLADX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #380
{DBGFIELD("SMULL") 2, false, false, 13, 1, 7, 2, 64, 2}, // #381
{DBGFIELD("t2SMULL_t2UMULL") 2, false, false, 13, 1, 7, 2, 64, 2}, // #382
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL") 2, false, false, 13, 1, 12, 2, 67, 4}, // #383
{DBGFIELD("SDIV_UDIV_t2SDIV_t2UDIV") 1, false, false, 3, 1, 2, 1, 0, 0}, // #384
{DBGFIELD("LDRi12") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("LDRBi12") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("LDRBrs") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #387
{DBGFIELD("t2LDRpci_pic") 1, false, false, 3, 1, 2, 1, 0, 0}, // #388
{DBGFIELD("t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #389
{DBGFIELD("t2LDRs") 1, false, false, 3, 1, 2, 1, 0, 0}, // #390
{DBGFIELD("t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #391
{DBGFIELD("t2LDRBs_t2LDRHs") 1, false, false, 3, 1, 2, 1, 0, 0}, // #392
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic") 1, false, false, 3, 1, 2, 1, 0, 0}, // #393
{DBGFIELD("tLDRBr_tLDRHr") 1, false, false, 3, 1, 2, 1, 0, 0}, // #394
{DBGFIELD("tLDRr") 1, false, false, 3, 1, 2, 1, 0, 0}, // #395
{DBGFIELD("LDRH_PICLDRB_PICLDRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #396
{DBGFIELD("LDRcp") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #397
{DBGFIELD("t2LDRSBpcrel_t2LDRSHpcrel") 1, false, false, 3, 1, 2, 1, 0, 0}, // #398
{DBGFIELD("t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci") 1, false, false, 3, 1, 2, 1, 0, 0}, // #399
{DBGFIELD("t2LDRSBs_t2LDRSHs") 1, false, false, 3, 1, 2, 1, 0, 0}, // #400
{DBGFIELD("tLDRSB_tLDRSH") 1, false, false, 3, 1, 2, 1, 0, 0}, // #401
{DBGFIELD("LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #402
{DBGFIELD("LDRB_POST_IMM_LDRB_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #403
{DBGFIELD("LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #404
{DBGFIELD("LDR_POST_IMM_LDR_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #405
{DBGFIELD("LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #406
{DBGFIELD("t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #407
{DBGFIELD("t2LDR_POST_t2LDR_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #408
{DBGFIELD("t2LDRBT_t2LDRHT") 1, false, false, 3, 1, 2, 1, 0, 0}, // #409
{DBGFIELD("t2LDRT") 1, false, false, 3, 1, 2, 1, 0, 0}, // #410
{DBGFIELD("t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #411
{DBGFIELD("t2LDRSBT_t2LDRSHT") 1, false, false, 3, 1, 2, 1, 0, 0}, // #412
{DBGFIELD("t2LDRDi8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #413
{DBGFIELD("LDRD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #414
{DBGFIELD("LDRD_POST_LDRD_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #415
{DBGFIELD("t2LDRD_POST_t2LDRD_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #416
{DBGFIELD("LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #417
{DBGFIELD("LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #418
{DBGFIELD("LDMIA_RET_t2LDMIA_RET") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #419
{DBGFIELD("tPOP_RET") 1, false, false, 3, 1, 1, 1, 0, 0}, // #420
{DBGFIELD("tPOP") 1, false, false, 3, 1, 2, 1, 0, 0}, // #421
{DBGFIELD("PICSTR_STRi12") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #422
{DBGFIELD("PICSTRB_PICSTRH_STRBi12_STRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #423
{DBGFIELD("STRrs") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #424
{DBGFIELD("STRBrs") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #425
{DBGFIELD("STREX_STREXB_STREXD_STREXH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #426
{DBGFIELD("t2STRi12_t2STRi8_tSTRi_tSTRspi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #427
{DBGFIELD("t2STRs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #428
{DBGFIELD("t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #429
{DBGFIELD("t2STRBs_t2STRHs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #430
{DBGFIELD("tSTRBr_tSTRHr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #431
{DBGFIELD("tSTRr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #432
{DBGFIELD("STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("STRB_POST_IMM_STRB_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #434
{DBGFIELD("STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #435
{DBGFIELD("STR_POST_IMM_STR_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #436
{DBGFIELD("STRBT_POST_STRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #437
{DBGFIELD("t2STR_POST_t2STR_PRE_t2STRH_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #438
{DBGFIELD("t2STRB_POST_t2STRB_PRE_t2STRH_POST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #439
{DBGFIELD("t2STR_preidx_t2STRB_preidx_t2STRH_preidx") 1, false, false, 3, 1, 1, 1, 0, 0}, // #440
{DBGFIELD("t2STRBT_t2STRHT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #441
{DBGFIELD("t2STRT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #442
{DBGFIELD("STRD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #443
{DBGFIELD("t2STRDi8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #444
{DBGFIELD("t2STRD_POST_t2STRD_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #445
{DBGFIELD("STRD_POST_STRD_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #446
{DBGFIELD("STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #447
{DBGFIELD("STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #448
{DBGFIELD("tPUSH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #449
{DBGFIELD("LDRLIT_ga_abs_tLDRLIT_ga_abs") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #450
{DBGFIELD("LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("LDRLIT_ga_pcrel_ldr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #452
{DBGFIELD("t2IT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #453
{DBGFIELD("ITasm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #454
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #455
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #456
{DBGFIELD("VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #457
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #458
{DBGFIELD("VNEGf32q") 1, false, false, 3, 1, 1, 1, 0, 0}, // #459
{DBGFIELD("VNEGfd") 1, false, false, 3, 1, 1, 1, 0, 0}, // #460
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #461
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #462
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #463
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #464
{DBGFIELD("VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #465
{DBGFIELD("VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #466
{DBGFIELD("VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #467
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #468
{DBGFIELD("VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #469
{DBGFIELD("VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #470
{DBGFIELD("VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #471
{DBGFIELD("VEXTd16_VEXTd32_VEXTd8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #472
{DBGFIELD("VEXTq16_VEXTq32_VEXTq64_VEXTq8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #473
{DBGFIELD("VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #474
{DBGFIELD("VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #475
{DBGFIELD("VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #476
{DBGFIELD("VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #477
{DBGFIELD("VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #478
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #479
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #480
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #481
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #482
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #483
{DBGFIELD("VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #484
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #485
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #486
{DBGFIELD("VABSfd") 1, false, false, 3, 1, 1, 1, 0, 0}, // #487
{DBGFIELD("VABSfq") 1, false, false, 3, 1, 1, 1, 0, 0}, // #488
{DBGFIELD("VABSv16i8_VABSv4i32_VABSv8i16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #489
{DBGFIELD("VABSv2i32_VABSv4i16_VABSv8i8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #490
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #491
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #492
{DBGFIELD("VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #493
{DBGFIELD("VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #494
{DBGFIELD("VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #495
{DBGFIELD("VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #496
{DBGFIELD("VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #497
{DBGFIELD("VSHRNv2i32_VSHRNv4i16_VSHRNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #498
{DBGFIELD("VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #499
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #500
{DBGFIELD("VTBL1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #501
{DBGFIELD("VTBX1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #502
{DBGFIELD("VTBL2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #503
{DBGFIELD("VTBX2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #504
{DBGFIELD("VTBL3_VTBL3Pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #505
{DBGFIELD("VTBX3_VTBX3Pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #506
{DBGFIELD("VTBL4_VTBL4Pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #507
{DBGFIELD("VTBX4_VTBX4Pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #508
{DBGFIELD("VSWPd_VSWPq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #509
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #510
{DBGFIELD("VTRNq16_VTRNq32_VTRNq8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #511
{DBGFIELD("VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #512
{DBGFIELD("VABSD_VNEGD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #513
{DBGFIELD("VABSS_VNEGS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #514
{DBGFIELD("VCMPD_VCMPZD_VCMPED_VCMPEZD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #515
{DBGFIELD("VCMPS_VCMPZS_VCMPES_VCMPEZS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #516
{DBGFIELD("VADDS_VSUBS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #517
{DBGFIELD("VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #518
{DBGFIELD("VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #519
{DBGFIELD("VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #520
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #521
{DBGFIELD("VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #522
{DBGFIELD("VADDD_VSUBD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #523
{DBGFIELD("VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #524
{DBGFIELD("VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #525
{DBGFIELD("VMULS_VNMULS") 1, false, false, 3, 1, 1, 1, 64, 2}, // #526
{DBGFIELD("VMULfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #527
{DBGFIELD("VMULfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #528
{DBGFIELD("VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #529
{DBGFIELD("VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #530
{DBGFIELD("VMULslfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #531
{DBGFIELD("VMULslfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #532
{DBGFIELD("VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #533
{DBGFIELD("VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #534
{DBGFIELD("VMULLp64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #535
{DBGFIELD("VMLAD_VMLSD_VNMLAD_VNMLSD") 1, false, false, 3, 1, 3, 1, 64, 3}, // #536
{DBGFIELD("VMLAH_VMLSH_VNMLAH_VNMLSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #537
{DBGFIELD("VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #538
{DBGFIELD("VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #539
{DBGFIELD("VMLAS_VMLSS_VNMLAS_VNMLSS") 1, false, false, 3, 1, 3, 1, 64, 3}, // #540
{DBGFIELD("VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #541
{DBGFIELD("VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #542
{DBGFIELD("VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #543
{DBGFIELD("VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #544
{DBGFIELD("VFMAD_VFMSD_VFNMAD_VFNMSD") 1, false, false, 3, 1, 3, 1, 64, 3}, // #545
{DBGFIELD("VFMAS_VFMSS_VFNMAS_VFNMSS") 1, false, false, 3, 1, 3, 1, 64, 3}, // #546
{DBGFIELD("VFNMAH_VFNMSH") 1, false, false, 3, 1, 3, 1, 64, 3}, // #547
{DBGFIELD("VFMAfd_VFMSfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #548
{DBGFIELD("VFMAfq_VFMSfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #549
{DBGFIELD("VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #550
{DBGFIELD("VCVTBHD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #551
{DBGFIELD("VCVTBHS_VCVTTHS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #552
{DBGFIELD("VCVTBSH_VCVTTSH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #553
{DBGFIELD("VCVTDS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #554
{DBGFIELD("VCVTSD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #555
{DBGFIELD("VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #556
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #557
{DBGFIELD("VSITOD_VUITOD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #558
{DBGFIELD("VSITOH_VUITOH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #559
{DBGFIELD("VSITOS_VUITOS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #560
{DBGFIELD("VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #561
{DBGFIELD("VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #562
{DBGFIELD("VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #563
{DBGFIELD("VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #564
{DBGFIELD("VMOVD_VMOVDcc_FCONSTD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #565
{DBGFIELD("VMOVS_VMOVScc_FCONSTS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #566
{DBGFIELD("VMVNd_VMVNq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("VMOVNv2i32_VMOVNv4i16_VMOVNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #568
{DBGFIELD("VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #570
{DBGFIELD("VDUPLN16d_VDUPLN32d_VDUPLN8d") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #571
{DBGFIELD("VDUPLN16q_VDUPLN32q_VDUPLN8q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #573
{DBGFIELD("VMOVRS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #574
{DBGFIELD("VMOVSR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #575
{DBGFIELD("VSETLNi16_VSETLNi32_VSETLNi8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #576
{DBGFIELD("VMOVRRD_VMOVRRS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #577
{DBGFIELD("VMOVDRR") 1, false, false, 3, 1, 2, 1, 0, 0}, // #578
{DBGFIELD("VMOVSRR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #579
{DBGFIELD("VGETLNi32_VGETLNu16_VGETLNu8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #580
{DBGFIELD("VGETLNs16_VGETLNs8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #582
{DBGFIELD("VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #583
{DBGFIELD("FMSTAT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #584
{DBGFIELD("VLDRD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #585
{DBGFIELD("VLDRS") 1, false, false, 3, 1, 2, 1, 0, 0}, // #586
{DBGFIELD("VSTRD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #587
{DBGFIELD("VSTRS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #588
{DBGFIELD("VLDMQIA") 1, false, false, 3, 1, 2, 1, 0, 0}, // #589
{DBGFIELD("VSTMQIA") 1, false, false, 3, 1, 1, 1, 0, 0}, // #590
{DBGFIELD("VLDMDIA_VLDMSIA") 1, false, false, 3, 1, 2, 1, 0, 0}, // #591
{DBGFIELD("VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #592
{DBGFIELD("VSTMDIA_VSTMSIA") 1, false, false, 3, 1, 1, 1, 0, 0}, // #593
{DBGFIELD("VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #594
{DBGFIELD("VLD1d16_VLD1d32_VLD1d64_VLD1d8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #595
{DBGFIELD("VLD1q16_VLD1q32_VLD1q64_VLD1q8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #596
{DBGFIELD("VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #597
{DBGFIELD("VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #598
{DBGFIELD("VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #599
{DBGFIELD("VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #600
{DBGFIELD("VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #601
{DBGFIELD("VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #602
{DBGFIELD("VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #603
{DBGFIELD("VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #604
{DBGFIELD("VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #605
{DBGFIELD("VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #606
{DBGFIELD("VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #607
{DBGFIELD("VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #608
{DBGFIELD("VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #609
{DBGFIELD("VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #610
{DBGFIELD("VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #611
{DBGFIELD("VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #612
{DBGFIELD("VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #613
{DBGFIELD("VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #614
{DBGFIELD("VLD1DUPd16_VLD1DUPd32_VLD1DUPd8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #615
{DBGFIELD("VLD1DUPq16_VLD1DUPq32_VLD1DUPq8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #616
{DBGFIELD("VLD1LNd16_VLD1LNd8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #617
{DBGFIELD("VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #618
{DBGFIELD("VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #619
{DBGFIELD("VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed") 1, false, false, 3, 1, 2, 1, 0, 0}, // #620
{DBGFIELD("VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #621
{DBGFIELD("VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2") 1, false, false, 3, 1, 2, 1, 0, 0}, // #622
{DBGFIELD("VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #623
{DBGFIELD("VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #624
{DBGFIELD("VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #625
{DBGFIELD("VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #626
{DBGFIELD("VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #627
{DBGFIELD("VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #628
{DBGFIELD("VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #629
{DBGFIELD("VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #630
{DBGFIELD("VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #631
{DBGFIELD("VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #632
{DBGFIELD("VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #633
{DBGFIELD("VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #634
{DBGFIELD("VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #635
{DBGFIELD("VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #636
{DBGFIELD("VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #637
{DBGFIELD("VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #638
{DBGFIELD("VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #639
{DBGFIELD("VST1d16_VST1d32_VST1d64_VST1d8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #640
{DBGFIELD("VST1q16_VST1q32_VST1q64_VST1q8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #641
{DBGFIELD("VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #642
{DBGFIELD("VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #643
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #644
{DBGFIELD("VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #645
{DBGFIELD("VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #646
{DBGFIELD("VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #647
{DBGFIELD("VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #648
{DBGFIELD("VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #649
{DBGFIELD("VST2b16_VST2b32_VST2b8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #650
{DBGFIELD("VST2d16_VST2d32_VST2d8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #651
{DBGFIELD("VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #652
{DBGFIELD("VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #653
{DBGFIELD("VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #654
{DBGFIELD("VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register") 1, false, false, 3, 1, 1, 1, 0, 0}, // #655
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #656
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #657
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #658
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #659
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #660
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #661
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #662
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #663
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #664
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #665
{DBGFIELD("VST3LNq16Pseudo_VST3LNq32Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #666
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #667
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #668
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #669
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #670
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #671
{DBGFIELD("VDIVS") 1, false, false, 3, 1, 623, 1, 0, 0}, // #672
{DBGFIELD("VSQRTS") 1, false, false, 3, 1, 623, 1, 0, 0}, // #673
{DBGFIELD("VDIVD") 1, false, false, 3, 1, 623, 1, 0, 0}, // #674
{DBGFIELD("VSQRTD") 1, false, false, 3, 1, 623, 1, 0, 0}, // #675
{DBGFIELD("ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #676
{DBGFIELD("COPY") 1, false, false, 3, 1, 1, 1, 0, 0}, // #677
{DBGFIELD("t2MOVCCi_t2MOVCCi16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #678
{DBGFIELD("t2MOVi_t2MOVi16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #679
{DBGFIELD("t2ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #680
{DBGFIELD("t2USAD8_t2USADA8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #681
{DBGFIELD("t2SDIV_t2UDIV") 1, false, false, 3, 1, 2, 1, 0, 0}, // #682
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH") 1, false, false, 3, 1, 2, 1, 0, 0}, // #683
{DBGFIELD("LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #684
{DBGFIELD("LDRBT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #685
{DBGFIELD("MOVsr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #686
{DBGFIELD("t2MOVSsr_t2MOVsr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #687
{DBGFIELD("t2MOVsra_flag_t2MOVsrl_flag") 1, false, false, 3, 1, 1, 1, 0, 0}, // #688
{DBGFIELD("MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #689
{DBGFIELD("ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri") 1, false, false, 3, 1, 1, 1, 0, 1}, // #690
{DBGFIELD("CLZ_t2CLZ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #691
{DBGFIELD("t2ANDri_t2BICri_t2EORri_t2ORRri") 1, false, false, 3, 1, 1, 1, 0, 1}, // #692
{DBGFIELD("t2MVNCCi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #693
{DBGFIELD("t2MVNi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #694
{DBGFIELD("t2MVNr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #695
{DBGFIELD("t2MVNs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #696
{DBGFIELD("ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr") 1, false, false, 3, 1, 1, 1, 64, 2}, // #697
{DBGFIELD("CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #698
{DBGFIELD("t2ANDrr_t2BICrr_t2EORrr") 1, false, false, 3, 1, 1, 1, 64, 2}, // #699
{DBGFIELD("ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi") 1, false, false, 3, 1, 1, 1, 0, 1}, // #700
{DBGFIELD("t2ADDSrs") 1, false, false, 3, 1, 1, 1, 0, 1}, // #701
{DBGFIELD("t2ADCrs_t2ADDrs_t2SBCrs") 1, false, false, 3, 1, 1, 1, 0, 1}, // #702
{DBGFIELD("t2ANDrs_t2BICrs_t2EORrs_t2ORRrs") 1, false, false, 3, 1, 1, 1, 0, 1}, // #703
{DBGFIELD("t2RSBrs") 1, false, false, 3, 1, 1, 1, 0, 1}, // #704
{DBGFIELD("ADDSrsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #705
{DBGFIELD("ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #706
{DBGFIELD("ADR") 1, false, false, 3, 1, 1, 1, 0, 1}, // #707
{DBGFIELD("MVNi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #708
{DBGFIELD("MVNsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #709
{DBGFIELD("t2MOVSsi_t2MOVsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #710
{DBGFIELD("ASRi_RORi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #711
{DBGFIELD("ASRr_RORr_LSRi_LSRr_LSLi_LSLr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #712
{DBGFIELD("CMPri_CMNri") 1, false, false, 3, 1, 1, 1, 0, 1}, // #713
{DBGFIELD("CMPrr_CMNzrr") 1, false, false, 3, 1, 1, 1, 64, 2}, // #714
{DBGFIELD("CMPrsi_CMNzrsi") 1, false, false, 3, 1, 1, 1, 0, 1}, // #715
{DBGFIELD("CMPrsr_CMNzrsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #716
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #717
{DBGFIELD("RBIT_REV_REV16_REVSH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #718
{DBGFIELD("RRX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #719
{DBGFIELD("TSTri") 1, false, false, 3, 1, 1, 1, 0, 1}, // #720
{DBGFIELD("TSTrr") 1, false, false, 3, 1, 1, 1, 64, 2}, // #721
{DBGFIELD("TSTrsi") 1, false, false, 3, 1, 1, 1, 0, 1}, // #722
{DBGFIELD("TSTrsr") 1, false, false, 3, 1, 1, 1, 0, 1}, // #723
{DBGFIELD("MRS_MRSbanked_MRSsys") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("MSR_MSRbanked_MSRi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #726
{DBGFIELD("t2STREX_t2STREXB_t2STREXD_t2STREXH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #727
{DBGFIELD("STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #728
{DBGFIELD("t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #729
{DBGFIELD("VABDfd_VABDhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #730
{DBGFIELD("VABDfq_VABDhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #731
{DBGFIELD("VABSD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #732
{DBGFIELD("VABSH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #733
{DBGFIELD("VABSS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #734
{DBGFIELD("VABShd") 1, false, false, 3, 1, 1, 1, 0, 0}, // #735
{DBGFIELD("VABShq") 1, false, false, 3, 1, 1, 1, 0, 0}, // #736
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #737
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #738
{DBGFIELD("VADDH_VSUBH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #739
{DBGFIELD("VADDfd_VSUBfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #740
{DBGFIELD("VADDhd_VSUBhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #741
{DBGFIELD("VADDfq_VSUBfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #742
{DBGFIELD("VADDhq_VSUBhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #743
{DBGFIELD("VLDRH") 1, false, false, 3, 1, 2, 1, 0, 0}, // #744
{DBGFIELD("VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre") 1, false, false, 3, 1, 2, 1, 0, 0}, // #745
{DBGFIELD("VSTRH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #746
{DBGFIELD("VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre") 1, false, false, 3, 1, 1, 1, 0, 0}, // #747
{DBGFIELD("VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #748
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #749
{DBGFIELD("VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #750
{DBGFIELD("VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #751
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #752
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #753
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #754
{DBGFIELD("VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #755
{DBGFIELD("VANDd_VBICd_VEORd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #756
{DBGFIELD("VANDq_VBICq_VEORq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #757
{DBGFIELD("VBICiv2i32_VBICiv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #758
{DBGFIELD("VBICiv4i32_VBICiv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #759
{DBGFIELD("VBIFd_VBITd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #760
{DBGFIELD("VBSLd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #761
{DBGFIELD("VBIFq_VBITq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #762
{DBGFIELD("VBSLq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("VCMPEH_VCMPEZH_VCMPH_VCMPZH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #768
{DBGFIELD("VDUP16d_VDUP32d_VDUP8d") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #769
{DBGFIELD("VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("VFMAhd_VFMShd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("VFMAhq_VFMShq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #774
{DBGFIELD("VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #775
{DBGFIELD("VPMAXf_VPMAXh_VPMINf_VPMINh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #776
{DBGFIELD("VNEGH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #777
{DBGFIELD("VNEGhd") 1, false, false, 3, 1, 1, 1, 0, 0}, // #778
{DBGFIELD("VNEGhq") 1, false, false, 3, 1, 1, 1, 0, 0}, // #779
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d") 1, false, false, 3, 1, 1, 1, 0, 0}, // #780
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q") 1, false, false, 3, 1, 1, 1, 0, 0}, // #781
{DBGFIELD("VPADDi16_VPADDi32_VPADDi8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #783
{DBGFIELD("VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #784
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #785
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #786
{DBGFIELD("VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #788
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #789
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #790
{DBGFIELD("VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #791
{DBGFIELD("VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #792
{DBGFIELD("VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #793
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #794
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #795
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #796
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T") 1, false, false, 3, 1, 1, 1, 0, 0}, // #797
{DBGFIELD("VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q") 1, false, false, 3, 1, 1, 1, 0, 0}, // #798
{DBGFIELD("VST1d64QPseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #799
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #800
{DBGFIELD("VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #801
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #802
{DBGFIELD("VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #803
{DBGFIELD("VST2q16_VST2q32_VST2q8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #804
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #805
{DBGFIELD("VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #806
{DBGFIELD("VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #807
{DBGFIELD("VST2LNq16_VST2LNq32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #808
{DBGFIELD("VST2LNqAsm_16_VST2LNqAsm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #809
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #810
{DBGFIELD("VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #811
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #812
{DBGFIELD("VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #813
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #814
{DBGFIELD("VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #815
{DBGFIELD("VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #816
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #817
{DBGFIELD("VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #818
{DBGFIELD("VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #819
{DBGFIELD("VST3LNqAsm_16_VST3LNqAsm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #820
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #821
{DBGFIELD("VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #822
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #823
{DBGFIELD("VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #824
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #825
{DBGFIELD("VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #826
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #827
{DBGFIELD("VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #828
{DBGFIELD("VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #829
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #830
{DBGFIELD("VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #831
{DBGFIELD("VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #832
{DBGFIELD("VST4LNq16_VST4LNq32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #833
{DBGFIELD("VST4LNqAsm_16_VST4LNqAsm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #834
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #835
{DBGFIELD("VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #836
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #837
{DBGFIELD("VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #838
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #839
{DBGFIELD("VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #840
{DBGFIELD("BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #841
{DBGFIELD("t2HVC_tTRAP_SVC_tSVC") 1, false, false, 3, 1, 1, 1, 0, 0}, // #842
{DBGFIELD("t2UDF_tUDF_t__brkdiv0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #843
{DBGFIELD("LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #844
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #845
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #846
{DBGFIELD("MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #847
{DBGFIELD("FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #848
{DBGFIELD("ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #849
{DBGFIELD("SUBS_PC_LR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #850
{DBGFIELD("B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #851
{DBGFIELD("BXJ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #852
{DBGFIELD("tBfar") 1, false, false, 3, 1, 1, 1, 0, 0}, // #853
{DBGFIELD("BL_tBL_BL_pred_tBLXi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #854
{DBGFIELD("BLXi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #855
{DBGFIELD("TPsoft_tTPsoft") 1, false, false, 3, 1, 1, 1, 0, 0}, // #856
{DBGFIELD("BLX_BLX_pred_tBLXNSr_tBLXr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #857
{DBGFIELD("BCCi64_BCCZi64") 1, false, false, 3, 1, 1, 1, 0, 0}, // #858
{DBGFIELD("BR_JTadd_tBR_JTr_t2TBB_t2TBH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #859
{DBGFIELD("BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND") 1, false, false, 3, 1, 1, 1, 0, 0}, // #860
{DBGFIELD("t2BXJ") 1, false, false, 3, 1, 1, 1, 0, 0}, // #861
{DBGFIELD("BR_JTm_i12_BR_JTm_rs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #862
{DBGFIELD("tADDframe") 1, false, false, 3, 1, 1, 1, 0, 0}, // #863
{DBGFIELD("MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #864
{DBGFIELD("MOVr_MOVr_TC_tMOVSr_tMOVr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #865
{DBGFIELD("MVNCCi_MOVCCi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #866
{DBGFIELD("BMOVPCB_CALL_BMOVPCRX_CALL") 1, false, false, 3, 1, 1, 1, 0, 0}, // #867
{DBGFIELD("MOVCCr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #868
{DBGFIELD("tMOVCCr_pseudo") 1, false, false, 3, 1, 1, 1, 0, 0}, // #869
{DBGFIELD("tMVN") 1, false, false, 3, 1, 1, 1, 0, 0}, // #870
{DBGFIELD("MOVCCsi") 1, false, false, 3, 1, 1, 1, 0, 0}, // #871
{DBGFIELD("t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #872
{DBGFIELD("LSRi_LSLi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror") 1, false, false, 3, 1, 1, 1, 0, 0}, // #874
{DBGFIELD("t2MOVCCr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #875
{DBGFIELD("t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 3, 1, 1, 1, 0, 0}, // #876
{DBGFIELD("t2MOVr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #877
{DBGFIELD("tROR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #878
{DBGFIELD("t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #879
{DBGFIELD("MOVPCRX_MOVPCLR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #880
{DBGFIELD("tMUL") 1, false, false, 3, 1, 1, 1, 64, 2}, // #881
{DBGFIELD("SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8") 1, false, false, 3, 1, 1, 1, 64, 2}, // #882
{DBGFIELD("t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #883
{DBGFIELD("SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8") 1, false, false, 3, 1, 1, 1, 64, 2}, // #884
{DBGFIELD("t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #885
{DBGFIELD("QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8") 1, false, false, 3, 1, 1, 1, 64, 2}, // #886
{DBGFIELD("t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #887
{DBGFIELD("QASX_QSAX_UQASX_UQSAX") 1, false, false, 3, 1, 1, 1, 64, 2}, // #888
{DBGFIELD("t2QASX_t2QSAX_t2UQASX_t2UQSAX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #889
{DBGFIELD("SSAT_SSAT16_USAT_USAT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("QADD_QSUB") 1, false, false, 3, 1, 1, 1, 64, 2}, // #891
{DBGFIELD("SBFX_UBFX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #892
{DBGFIELD("t2SBFX_t2UBFX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #893
{DBGFIELD("SXTB_SXTH_UXTB_UXTH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #894
{DBGFIELD("t2SXTB_t2SXTH_t2UXTB_t2UXTH") 1, false, false, 3, 1, 1, 1, 0, 1}, // #895
{DBGFIELD("tSXTB_tSXTH_tUXTB_tUXTH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #896
{DBGFIELD("SXTAB_SXTAH_UXTAB_UXTAH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #897
{DBGFIELD("t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH") 1, false, false, 3, 1, 1, 1, 0, 1}, // #898
{DBGFIELD("LDRConstPool_t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #899
{DBGFIELD("PICLDRB_PICLDRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #900
{DBGFIELD("PICLDRSB_PICLDRSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #901
{DBGFIELD("tLDR_postidx") 1, false, false, 3, 1, 2, 1, 0, 0}, // #902
{DBGFIELD("tLDRBi_tLDRHi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #903
{DBGFIELD("tLDRi_tLDRpci_tLDRspi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #904
{DBGFIELD("t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel") 1, false, false, 3, 1, 2, 1, 0, 0}, // #905
{DBGFIELD("LDR_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #906
{DBGFIELD("LDRB_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #907
{DBGFIELD("t2LDRB_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #908
{DBGFIELD("LDR_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #909
{DBGFIELD("LDRB_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #910
{DBGFIELD("LDRH_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #911
{DBGFIELD("LDRSB_PRE_LDRSH_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #912
{DBGFIELD("t2LDRH_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #913
{DBGFIELD("t2LDRSB_PRE_t2LDRSH_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #914
{DBGFIELD("t2LDR_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #915
{DBGFIELD("LDRD_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #916
{DBGFIELD("t2LDRD_PRE") 1, false, false, 3, 1, 2, 1, 0, 0}, // #917
{DBGFIELD("LDRT_POST_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #918
{DBGFIELD("LDRBT_POST_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #919
{DBGFIELD("LDRHTi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRSBTi_LDRSHTi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("t2LDRB_POST") 1, false, false, 3, 1, 2, 1, 0, 0}, // #922
{DBGFIELD("LDRH_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDRSB_POST_LDRSH_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #924
{DBGFIELD("LDR_POST_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #925
{DBGFIELD("LDRB_POST_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #926
{DBGFIELD("LDRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #927
{DBGFIELD("PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #928
{DBGFIELD("PLDrs_PLDWrs") 1, false, false, 3, 1, 1, 1, 0, 0}, // #929
{DBGFIELD("VLLDM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #930
{DBGFIELD("STRBi12_PICSTRB_PICSTRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #931
{DBGFIELD("t2STRBT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #932
{DBGFIELD("STR_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #933
{DBGFIELD("STRB_PRE_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #934
{DBGFIELD("STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #935
{DBGFIELD("STRH_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #936
{DBGFIELD("t2STRH_PRE_t2STR_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #937
{DBGFIELD("t2STRB_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #938
{DBGFIELD("t2STRD_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #939
{DBGFIELD("STR_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #940
{DBGFIELD("STRB_PRE_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #941
{DBGFIELD("STRD_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #942
{DBGFIELD("STRT_POST_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #943
{DBGFIELD("STRBT_POST_IMM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #944
{DBGFIELD("t2STRB_POST") 1, false, false, 3, 1, 1, 1, 0, 0}, // #945
{DBGFIELD("STRBT_POST_REG_STRB_POST_REG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("VLSTM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("VTOSLS_VTOUHS_VTOULS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #949
{DBGFIELD("VJCVT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("VSQRTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #953
{DBGFIELD("VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #954
{DBGFIELD("FCONSTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #955
{DBGFIELD("FCONSTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("FCONSTS") 1, false, false, 3, 1, 1, 1, 0, 0}, // #957
{DBGFIELD("VMOVHcc_VMOVH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #958
{DBGFIELD("VINSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("VSTMSIA") 1, false, false, 3, 1, 1, 1, 0, 0}, // #960
{DBGFIELD("VSTMSDB_UPD_VSTMSIA_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #961
{DBGFIELD("VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #962
{DBGFIELD("VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #963
{DBGFIELD("VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #964
{DBGFIELD("VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("VMULv2i32_VMULslv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #971
{DBGFIELD("VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("VPADDh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("VMULhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("VMULhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("VMOVD0_VMOVQ0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("VLD2d16_VLD2d32_VLD2d8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #993
{DBGFIELD("VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 1, false, false, 3, 1, 2, 1, 0, 0}, // #994
{DBGFIELD("VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #995
{DBGFIELD("VLD3LNd32_UPD_VLD3LNq32_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #996
{DBGFIELD("VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #997
{DBGFIELD("VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #998
{DBGFIELD("VLD4LNd32_UPD_VLD4LNq32_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #999
{DBGFIELD("VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1000
{DBGFIELD("AESD_AESE_AESIMC_AESMC") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("SHA1SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("SHA1H_SHA1SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("SHA1C_SHA1M_SHA1P") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("SHA256SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("SHA256H_SHA256H2_SHA256SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("t2LDMIA_RET") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1007
{DBGFIELD("tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1008
{DBGFIELD("t2LDMDB_t2LDMIA_tLDMIA") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1009
{DBGFIELD("t2LDRConstPool_tLDRConstPool") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1010
{DBGFIELD("tLDRLIT_ga_abs") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1011
{DBGFIELD("tLDRLIT_ga_pcrel") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1012
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1013
{DBGFIELD("t2STMDB_t2STMIA") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1014
{DBGFIELD("t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1015
{DBGFIELD("tMOVSr_tMOVr") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1016
{DBGFIELD("tMOVi8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1017
{DBGFIELD("t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1018
{DBGFIELD("t2CLREX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1019
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1020
{DBGFIELD("t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1021
{DBGFIELD("t2CDP_t2CDP2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1022
{DBGFIELD("t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1023
{DBGFIELD("t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1024
{DBGFIELD("tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1025
{DBGFIELD("t2UDF_tUDF") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1026
{DBGFIELD("tBKPT_t2DBG") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1027
{DBGFIELD("Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1028
{DBGFIELD("CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1029
{DBGFIELD("JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1030
{DBGFIELD("MEMCPY") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1031
{DBGFIELD("VSETLNi32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1032
{DBGFIELD("VGETLNi32") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1033
{DBGFIELD("VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1034
{DBGFIELD("VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1035
{DBGFIELD("VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1036
{DBGFIELD("VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1037
{DBGFIELD("VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1038
{DBGFIELD("VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1039
{DBGFIELD("VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1040
{DBGFIELD("VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD") 1, false, false, 3, 1, 1, 1, 0, 0}, // #1041
{DBGFIELD("VMOVD0") 1, false, false, 3, 1, 2, 1, 0, 0}, // #1042
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("A57Write_2cyc_1M_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("SwiftWriteP0ThreeCycleThreeUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("SwiftWriteP01TwoCycle_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("A57Write_2cyc_1I_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("A57Write_2cyc_1M_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("SwiftWriteP01TwoCycle_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("WriteALU_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("WriteALU_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("A57Write_2cyc_1I_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("SwiftWriteP01TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("A57Write_2cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("A57Write_2cyc_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("WriteALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp)_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
{DBGFIELD("A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1110
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1111
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1112
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1113
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1114
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1115
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1116
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1117
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1118
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1119
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1120
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1121
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1122
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1123
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1124
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1125
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1126
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1127
{DBGFIELD("SwiftWriteP01OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1128
{DBGFIELD("A57Write_1cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1129
{DBGFIELD("(SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1130
{DBGFIELD("SwiftWriteP0OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1131
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1132
{DBGFIELD("SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1133
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1134
{DBGFIELD("SwiftWriteP0FourCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1135
{DBGFIELD("A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1136
{DBGFIELD("A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1137
{DBGFIELD("A57Write_5cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1138
{DBGFIELD("A57Write_3cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1139
{DBGFIELD("A57Write_4cyc_1I_1L_1M_A57WrBackThree") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1140
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1141
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1142
{DBGFIELD("A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1143
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1144
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1145
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1146
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1147
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1148
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1149
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1150
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1151
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1152
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1153
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1154
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1155
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1156
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1157
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1158
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1159
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1160
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1161
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1162
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1163
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1164
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1165
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1166
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1167
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1168
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1169
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1170
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1171
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1172
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1173
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1174
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1175
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1176
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1177
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1178
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1179
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1180
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1181
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1182
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1183
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1184
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1185
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1186
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1187
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1188
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1189
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1190
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1191
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1192
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1193
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1194
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1195
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1196
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1197
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1198
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1199
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1200
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1201
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1202
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1203
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1204
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1205
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1206
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1207
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1208
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1209
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1210
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1211
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1212
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1213
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1214
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1215
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1216
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1217
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1218
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1219
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1220
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1221
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1222
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1223
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1224
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1225
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1226
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1227
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1228
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1229
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1230
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1231
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1232
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1233
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1234
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1235
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1236
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1237
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1238
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1239
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1240
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1241
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1242
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1243
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1244
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1245
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1246
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1247
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1248
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1249
{DBGFIELD("A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1250
{DBGFIELD("A57Write_1cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1251
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1252
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1253
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1254
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1255
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1256
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1257
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1258
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1259
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1260
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1261
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1262
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1263
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1264
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1265
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1266
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1267
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1268
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1269
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1270
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1271
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1272
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1273
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1274
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1275
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1276
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1277
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1278
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1279
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1280
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1281
{DBGFIELD("A57Write_2cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1282
{DBGFIELD("A57Write_3cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1283
{DBGFIELD("A57Write_4cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1284
{DBGFIELD("A57Write_5cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1285
{DBGFIELD("A57Write_6cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1286
{DBGFIELD("A57Write_7cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1287
{DBGFIELD("A57Write_8cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1288
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1289
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1290
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1291
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1292
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1293
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1294
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1295
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1296
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1297
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1298
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1299
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1300
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1301
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1302
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1303
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1304
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1305
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1306
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1307
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1308
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1309
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1310
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1311
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1312
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1313
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1314
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1315
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1316
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1317
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1318
{DBGFIELD("A57WrBackOne_A57Write_1cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1319
{DBGFIELD("A57WrBackOne_A57Write_2cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1320
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1321
{DBGFIELD("A57WrBackOne_A57Write_4cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1322
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1323
{DBGFIELD("A57WrBackOne_A57Write_6cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1324
{DBGFIELD("A57WrBackOne_A57Write_7cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1325
{DBGFIELD("A57WrBackOne_A57Write_8cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1326
{DBGFIELD("A57Write_6cyc_1V_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1327
{DBGFIELD("A57Write_3cyc_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1328
{DBGFIELD("A57Write_4cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1329
{DBGFIELD("A57Write_5cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1330
{DBGFIELD("A57Write_6cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1331
{DBGFIELD("A57Write_4cyc_1W_anonymous_4022") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1332
{DBGFIELD("A57Write_5cyc_1W_anonymous_4024") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1333
{DBGFIELD("A57Write_5cyc_1W_anonymous_4017") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1334
{DBGFIELD("A57Write_6cyc_1W_anonymous_4019") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1335
{DBGFIELD("SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1336
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1337
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1338
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1339
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1340
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1341
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1342
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1343
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1344
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1345
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1346
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1347
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1348
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1349
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1350
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1351
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1352
{DBGFIELD("R52WriteLM5Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1353
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1354
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1355
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1356
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1357
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1358
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1359
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1360
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1361
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1362
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1363
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1364
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1365
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1366
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1367
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1368
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1369
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1370
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1371
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1372
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1373
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1374
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1375
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1376
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1377
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1378
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1379
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1380
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1381
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1382
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1383
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1384
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1385
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1386
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1387
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1388
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1389
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1390
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1391
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1392
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1393
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1394
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1395
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1396
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1397
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1398
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1399
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1400
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1401
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1402
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1403
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1404
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1405
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1406
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1407
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1408
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1409
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1410
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1411
{DBGFIELD("SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1412
{DBGFIELD("A57Write_10cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1413
{DBGFIELD("A57Write_12cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1414
{DBGFIELD("A57Write_14cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1415
{DBGFIELD("A57Write_16cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1416
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1417
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1418
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1419
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1420
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1421
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1422
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1423
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1424
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1425
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1426
{DBGFIELD("A57WrBackOne_A57Write_10cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1427
{DBGFIELD("A57WrBackOne_A57Write_12cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1428
{DBGFIELD("A57WrBackOne_A57Write_14cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1429
{DBGFIELD("A57WrBackOne_A57Write_16cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1430
{DBGFIELD("A57Write_4cyc_1W_anonymous_4027") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1431
{DBGFIELD("A57Write_5cyc_1W_anonymous_4029") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1432
{DBGFIELD("A57Write_4cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1433
{DBGFIELD("A57Write_2cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1434
{DBGFIELD("A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1435
{DBGFIELD("A57Write_4cyc_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1436
{DBGFIELD("A57Write_4cyc_1L_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1437
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1438
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1439
{DBGFIELD("A57WrBackTwo_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1440
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1441
{DBGFIELD("A57WrBackTwo_A57Write_1cyc_1S_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1442
{DBGFIELD("A57Write_4cyc_1W_anonymous_4012") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1443
{DBGFIELD("A57Write_5cyc_1W_anonymous_4014") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1444
}; // CortexM4ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexR52ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("IIC_iALUi_WriteALU_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #1
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 3, 1, 74, 2}, // #2
{DBGFIELD("IIC_iALUsr_WriteALUsi_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #3
{DBGFIELD("IIC_iALUsr_WriteALUSsr_ReadALUsr") 1, false, false, 3, 1, 3, 1, 0, 1}, // #4
{DBGFIELD("IIC_Br_WriteBr") 1, false, false, 1, 1, 0, 1, 0, 0}, // #5
{DBGFIELD("IIC_Br_WriteBrTbl") 1, false, false, 3, 1, 0, 1, 0, 0}, // #6
{DBGFIELD("IIC_iLoad_mBr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("IIC_iLoad_i") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #8
{DBGFIELD("IIC_iLoadiALU") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #9
{DBGFIELD("IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 111, 1, 9, 1, 64, 3}, // #10
{DBGFIELD("IIC_iCMOVi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #11
{DBGFIELD("IIC_iMOVi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #12
{DBGFIELD("IIC_iCMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #13
{DBGFIELD("IIC_iCMOVr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #14
{DBGFIELD("IIC_iCMOVsr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #15
{DBGFIELD("IIC_iMOVix2addpc") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #16
{DBGFIELD("IIC_iMOVix2ld") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #17
{DBGFIELD("IIC_iMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #18
{DBGFIELD("IIC_iMOVsi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #19
{DBGFIELD("IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 111, 1, 9, 1, 64, 2}, // #20
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #21
{DBGFIELD("IIC_iLoad_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #22
{DBGFIELD("IIC_iLoad_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #23
{DBGFIELD("IIC_iStore_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #24
{DBGFIELD("IIC_iStore_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #25
{DBGFIELD("IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 1, false, false, 138, 1, 49, 2, 67, 4}, // #26
{DBGFIELD("IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 1, false, false, 138, 1, 49, 2, 64, 2}, // #27
{DBGFIELD("IIC_iStore_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("IIC_Br") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #29
{DBGFIELD("IIC_VMOVImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #30
{DBGFIELD("IIC_fpUNA64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #31
{DBGFIELD("IIC_fpUNA16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("IIC_fpUNA32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #33
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALUsr") 1, false, false, 3, 1, 3, 1, 0, 1}, // #34
{DBGFIELD("IIC_iCMOVsi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #35
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #36
{DBGFIELD("IIC_iStore_ru_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #37
{DBGFIELD("IIC_iALUr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #38
{DBGFIELD("IIC_iALUi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #39
{DBGFIELD("IIC_iLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("IIC_iPop_Br_WriteBrL") 1, false, false, 1, 1, 0, 1, 0, 0}, // #41
{DBGFIELD("IIC_iALUsr_WriteALUsr_ReadALUsr") 1, false, false, 3, 1, 3, 1, 0, 1}, // #42
{DBGFIELD("IIC_iBITi_WriteALU_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #43
{DBGFIELD("IIC_iBITr_WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 3, 1, 74, 2}, // #44
{DBGFIELD("IIC_iBITsr_WriteALUsi_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #45
{DBGFIELD("IIC_iBITsr_WriteALUsr_ReadALUsr") 1, false, false, 3, 1, 3, 1, 0, 1}, // #46
{DBGFIELD("IIC_iUNAsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #47
{DBGFIELD("IIC_Br_WriteBrL") 1, false, false, 1, 1, 0, 1, 0, 0}, // #48
{DBGFIELD("WriteBrL") 1, false, false, 1, 1, 0, 1, 0, 0}, // #49
{DBGFIELD("WriteBr") 1, false, false, 1, 1, 0, 1, 0, 0}, // #50
{DBGFIELD("IIC_iUNAr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #51
{DBGFIELD("IIC_iCMPi_WriteCMP_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #52
{DBGFIELD("IIC_iCMPr_WriteCMP_ReadALU_ReadALU") 1, false, false, 3, 1, 0, 1, 74, 2}, // #53
{DBGFIELD("IIC_iCMPsr_WriteCMPsi_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #54
{DBGFIELD("IIC_iCMPsr_WriteCMPsr_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #55
{DBGFIELD("IIC_fpSTAT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #56
{DBGFIELD("IIC_iLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #57
{DBGFIELD("IIC_iLoad_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #58
{DBGFIELD("IIC_iLoad_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #59
{DBGFIELD("IIC_iLoad_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #60
{DBGFIELD("IIC_iLoad_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #61
{DBGFIELD("IIC_iLoad_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #62
{DBGFIELD("IIC_iLoad_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #63
{DBGFIELD("IIC_iLoad_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #64
{DBGFIELD("IIC_iLoad_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #65
{DBGFIELD("IIC_iMOVr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #66
{DBGFIELD("IIC_iMOVsr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #67
{DBGFIELD("IIC_iMVNi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #68
{DBGFIELD("IIC_iMVNr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #69
{DBGFIELD("IIC_iMVNsr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #70
{DBGFIELD("IIC_iBITsi_WriteALUsi_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #71
{DBGFIELD("IIC_Preload_WritePreLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #72
{DBGFIELD("IIC_iDIV_WriteDIV") 1, false, false, 145, 1, 33, 1, 0, 0}, // #73
{DBGFIELD("IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 111, 1, 9, 1, 64, 3}, // #74
{DBGFIELD("WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 111, 1, 9, 1, 64, 3}, // #75
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 1, false, false, 138, 1, 49, 2, 67, 4}, // #76
{DBGFIELD("WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 1, false, false, 138, 1, 49, 2, 64, 2}, // #77
{DBGFIELD("WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 111, 1, 9, 1, 64, 2}, // #78
{DBGFIELD("IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL") 1, false, false, 111, 1, 9, 1, 64, 2}, // #79
{DBGFIELD("IIC_iStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #80
{DBGFIELD("IIC_iStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #81
{DBGFIELD("IIC_iStore_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #82
{DBGFIELD("IIC_iStore_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #83
{DBGFIELD("IIC_iStore_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #84
{DBGFIELD("IIC_iStore_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #85
{DBGFIELD("IIC_iStore_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #86
{DBGFIELD("IIC_iStore_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #87
{DBGFIELD("IIC_iStore_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #88
{DBGFIELD("IIC_iEXTAr_WriteALUsr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #89
{DBGFIELD("IIC_iEXTr_WriteALUsi") 1, false, false, 3, 1, 3, 1, 0, 0}, // #90
{DBGFIELD("IIC_iTSTi_WriteCMP_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #91
{DBGFIELD("IIC_iTSTr_WriteCMP_ReadALU_ReadALU") 1, false, false, 3, 1, 0, 1, 74, 2}, // #92
{DBGFIELD("IIC_iTSTsr_WriteCMPsi_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #93
{DBGFIELD("IIC_iTSTsr_WriteCMPsr_ReadALU") 1, false, false, 3, 1, 0, 1, 73, 1}, // #94
{DBGFIELD("IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL") 1, false, false, 138, 1, 49, 2, 64, 2}, // #95
{DBGFIELD("WriteALU_ReadALU_ReadALU") 1, false, false, 3, 1, 3, 1, 74, 2}, // #96
{DBGFIELD("IIC_VABAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #97
{DBGFIELD("IIC_VABAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #98
{DBGFIELD("IIC_VSUBi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #99
{DBGFIELD("IIC_VBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #100
{DBGFIELD("IIC_VBINQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #101
{DBGFIELD("IIC_VSUBi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #102
{DBGFIELD("IIC_VUNAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #103
{DBGFIELD("IIC_VUNAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #104
{DBGFIELD("IIC_VUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #105
{DBGFIELD("IIC_VUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #106
{DBGFIELD("IIC_fpALU64_WriteFPALU64") 1, false, false, 18, 1, 26, 1, 0, 0}, // #107
{DBGFIELD("IIC_fpALU16_WriteFPALU32") 1, false, false, 18, 1, 26, 1, 0, 0}, // #108
{DBGFIELD("IIC_VBINi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #109
{DBGFIELD("IIC_VSHLiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("IIC_fpALU32_WriteFPALU32") 1, false, false, 18, 1, 26, 1, 0, 0}, // #111
{DBGFIELD("IIC_VSUBiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #112
{DBGFIELD("IIC_VBINiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #113
{DBGFIELD("IIC_VBINiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #114
{DBGFIELD("IIC_VCNTiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #115
{DBGFIELD("IIC_VCNTiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #116
{DBGFIELD("IIC_VMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #117
{DBGFIELD("IIC_VMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("IIC_fpCMP64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("IIC_fpCMP16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #120
{DBGFIELD("IIC_fpCMP32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #122
{DBGFIELD("IIC_fpCVTSH_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #123
{DBGFIELD("IIC_fpCVTHS_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #124
{DBGFIELD("IIC_fpCVTDS_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #125
{DBGFIELD("IIC_fpCVTSD_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #126
{DBGFIELD("IIC_fpDIV64_WriteFPDIV64") 1, false, false, 156, 1, 42, 1, 0, 0}, // #127
{DBGFIELD("IIC_fpDIV16_WriteFPDIV32") 1, false, false, 157, 1, 40, 1, 0, 0}, // #128
{DBGFIELD("IIC_fpDIV32_WriteFPDIV32") 1, false, false, 157, 1, 40, 1, 0, 0}, // #129
{DBGFIELD("IIC_VMOVIS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #130
{DBGFIELD("IIC_VMOVD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #131
{DBGFIELD("IIC_VMOVQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #132
{DBGFIELD("IIC_VEXTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("IIC_VEXTQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #134
{DBGFIELD("IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 34, 2, 600, 1, 122, 3}, // #135
{DBGFIELD("IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 40, 2, 600, 1, 122, 3}, // #136
{DBGFIELD("IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 40, 2, 600, 1, 122, 3}, // #137
{DBGFIELD("IIC_VFMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #138
{DBGFIELD("IIC_VFMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #139
{DBGFIELD("IIC_VMOVSI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #140
{DBGFIELD("IIC_VBINi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #141
{DBGFIELD("IIC_fpCVTDI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #142
{DBGFIELD("IIC_VLD1dup_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #143
{DBGFIELD("IIC_VLD1dupu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #144
{DBGFIELD("IIC_VLD1dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #145
{DBGFIELD("IIC_VLD1dupu_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #146
{DBGFIELD("IIC_VLD1ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #147
{DBGFIELD("IIC_VLD1lnu_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #148
{DBGFIELD("IIC_VLD1ln_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #149
{DBGFIELD("IIC_VLD1_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #150
{DBGFIELD("IIC_VLD1x4_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #151
{DBGFIELD("IIC_VLD1x2u_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #152
{DBGFIELD("IIC_VLD1x3_WriteVLD3") 5, true, true, 16, 1, 40, 1, 0, 0}, // #153
{DBGFIELD("IIC_VLD1x2u_WriteVLD3") 5, true, true, 16, 1, 40, 1, 0, 0}, // #154
{DBGFIELD("IIC_VLD1u_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #155
{DBGFIELD("IIC_VLD1x2_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #156
{DBGFIELD("IIC_VLD1x2u_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #157
{DBGFIELD("IIC_VLD2dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #158
{DBGFIELD("IIC_VLD2dupu_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #159
{DBGFIELD("IIC_VLD2dup_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #160
{DBGFIELD("IIC_VLD2ln_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #161
{DBGFIELD("IIC_VLD2lnu_WriteVLD1") 1, false, false, 20, 1, 16, 1, 0, 0}, // #162
{DBGFIELD("IIC_VLD2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #163
{DBGFIELD("IIC_VLD2_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #164
{DBGFIELD("IIC_VLD2u_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #165
{DBGFIELD("IIC_VLD2x2_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #166
{DBGFIELD("IIC_VLD2x2u_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #167
{DBGFIELD("IIC_VLD3dup_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #168
{DBGFIELD("IIC_VLD3dupu_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #169
{DBGFIELD("IIC_VLD3ln_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #170
{DBGFIELD("IIC_VLD3lnu_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #171
{DBGFIELD("IIC_VLD3_WriteVLD3") 5, true, true, 16, 1, 40, 1, 0, 0}, // #172
{DBGFIELD("IIC_VLD3u_WriteVLD3") 5, true, true, 16, 1, 40, 1, 0, 0}, // #173
{DBGFIELD("IIC_VLD4dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #174
{DBGFIELD("IIC_VLD4dup_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #175
{DBGFIELD("IIC_VLD4dupu_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #176
{DBGFIELD("IIC_VLD4ln_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #177
{DBGFIELD("IIC_VLD4lnu_WriteVLD2") 3, true, true, 9, 1, 26, 1, 0, 0}, // #178
{DBGFIELD("IIC_VLD4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #179
{DBGFIELD("IIC_VLD4_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #180
{DBGFIELD("IIC_VLD4u_WriteVLD4") 7, true, true, 123, 1, 33, 1, 0, 0}, // #181
{DBGFIELD("IIC_fpLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #182
{DBGFIELD("IIC_fpLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #183
{DBGFIELD("IIC_fpLoad64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #184
{DBGFIELD("IIC_fpLoad16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #185
{DBGFIELD("IIC_fpLoad32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #186
{DBGFIELD("IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 34, 2, 600, 1, 122, 3}, // #187
{DBGFIELD("IIC_fpMAC16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #188
{DBGFIELD("IIC_VMACi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #189
{DBGFIELD("IIC_VMACi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #190
{DBGFIELD("IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 40, 2, 600, 1, 122, 3}, // #191
{DBGFIELD("IIC_VMACi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #192
{DBGFIELD("IIC_VMACi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #193
{DBGFIELD("IIC_fpMOVID_WriteFPMOV") 1, false, false, 18, 1, 9, 1, 0, 0}, // #194
{DBGFIELD("IIC_fpMOVIS_WriteFPMOV") 1, false, false, 18, 1, 9, 1, 0, 0}, // #195
{DBGFIELD("IIC_VQUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #196
{DBGFIELD("IIC_VMOVN") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #197
{DBGFIELD("IIC_fpMOVSI_WriteFPMOV") 1, false, false, 18, 1, 9, 1, 0, 0}, // #198
{DBGFIELD("IIC_fpMOVDI_WriteFPMOV") 1, false, false, 18, 1, 9, 1, 0, 0}, // #199
{DBGFIELD("IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL") 1, false, false, 32, 1, 26, 1, 74, 2}, // #200
{DBGFIELD("IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 4, 1, 26, 1, 74, 2}, // #201
{DBGFIELD("IIC_VMULi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #202
{DBGFIELD("IIC_VMULi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #203
{DBGFIELD("IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 4, 1, 26, 1, 74, 2}, // #204
{DBGFIELD("IIC_VFMULD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #205
{DBGFIELD("IIC_VFMULQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #206
{DBGFIELD("IIC_VMULi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #207
{DBGFIELD("IIC_VMULi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #208
{DBGFIELD("IIC_VSHLiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #209
{DBGFIELD("IIC_VPALiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("IIC_VPALiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #211
{DBGFIELD("IIC_VPBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #212
{DBGFIELD("IIC_VQUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #213
{DBGFIELD("IIC_VSHLi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #214
{DBGFIELD("IIC_VSHLi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #215
{DBGFIELD("IIC_VRECSD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #216
{DBGFIELD("IIC_VRECSQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #217
{DBGFIELD("IIC_VDOTPROD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #218
{DBGFIELD("IIC_VMOVISL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #219
{DBGFIELD("IIC_fpCVTID_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #220
{DBGFIELD("IIC_fpCVTIH_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #221
{DBGFIELD("IIC_fpCVTIS_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #222
{DBGFIELD("IIC_fpSQRT64_WriteFPSQRT64") 1, false, false, 2, 1, 42, 1, 0, 0}, // #223
{DBGFIELD("IIC_fpSQRT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("IIC_fpSQRT32_WriteFPSQRT32") 1, false, false, 2, 1, 40, 1, 0, 0}, // #225
{DBGFIELD("IIC_VST1ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #226
{DBGFIELD("IIC_VST1lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #227
{DBGFIELD("IIC_VST1_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #228
{DBGFIELD("IIC_VST1x4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #229
{DBGFIELD("IIC_VLD1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #230
{DBGFIELD("IIC_VST1x3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #231
{DBGFIELD("IIC_VLD1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #232
{DBGFIELD("IIC_VLD1u_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #233
{DBGFIELD("IIC_VST1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #234
{DBGFIELD("IIC_VST1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #235
{DBGFIELD("IIC_VST1x2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #236
{DBGFIELD("IIC_VLD1x2u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #237
{DBGFIELD("IIC_VST2ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #238
{DBGFIELD("IIC_VST2lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #239
{DBGFIELD("IIC_VST2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #240
{DBGFIELD("IIC_VST2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #241
{DBGFIELD("IIC_VLD1u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #242
{DBGFIELD("IIC_VST2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #243
{DBGFIELD("IIC_VST2x2_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #244
{DBGFIELD("IIC_VST2x2u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #245
{DBGFIELD("IIC_VLD1u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #246
{DBGFIELD("IIC_VST3ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #247
{DBGFIELD("IIC_VST3lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #248
{DBGFIELD("IIC_VST3lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #249
{DBGFIELD("IIC_VST3ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #250
{DBGFIELD("IIC_VST3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #251
{DBGFIELD("IIC_VST3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #252
{DBGFIELD("IIC_VST4ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #253
{DBGFIELD("IIC_VST4lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #254
{DBGFIELD("IIC_VST4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #255
{DBGFIELD("IIC_VST4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #256
{DBGFIELD("IIC_VST4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #257
{DBGFIELD("IIC_fpStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #258
{DBGFIELD("IIC_fpStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #259
{DBGFIELD("IIC_fpStore64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #260
{DBGFIELD("IIC_fpStore16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #261
{DBGFIELD("IIC_fpStore32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #262
{DBGFIELD("IIC_VSUBiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #263
{DBGFIELD("IIC_VTB1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("IIC_VTB2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #265
{DBGFIELD("IIC_VTB3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #266
{DBGFIELD("IIC_VTB4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("IIC_VTBX1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #268
{DBGFIELD("IIC_VTBX2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #269
{DBGFIELD("IIC_VTBX3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #270
{DBGFIELD("IIC_VTBX4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #271
{DBGFIELD("IIC_fpCVTDI_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #272
{DBGFIELD("IIC_fpCVTHI_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #273
{DBGFIELD("IIC_fpCVTSI_WriteFPCVT") 1, false, false, 18, 1, 26, 1, 0, 0}, // #274
{DBGFIELD("IIC_VPERMD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #275
{DBGFIELD("IIC_VPERMQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #276
{DBGFIELD("IIC_VPERMQ3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #277
{DBGFIELD("IIC_iUNAsi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #278
{DBGFIELD("IIC_iBITi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #279
{DBGFIELD("IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU") 1, false, false, 3, 1, 0, 1, 74, 2}, // #280
{DBGFIELD("IIC_iCMPi_WriteCMP") 1, false, false, 3, 1, 0, 1, 0, 0}, // #281
{DBGFIELD("IIC_iCMPr_WriteCMP") 1, false, false, 3, 1, 0, 1, 0, 0}, // #282
{DBGFIELD("IIC_iCMPsi_WriteCMPsi") 1, false, false, 3, 1, 0, 1, 0, 0}, // #283
{DBGFIELD("IIC_iALUx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #284
{DBGFIELD("WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #285
{DBGFIELD("IIC_iLoad_bh_i_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #286
{DBGFIELD("IIC_iLoad_bh_iu_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #287
{DBGFIELD("IIC_iLoad_bh_si_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #288
{DBGFIELD("IIC_iLoad_d_ru_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #289
{DBGFIELD("IIC_iLoad_d_i_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #290
{DBGFIELD("IIC_iLoad_i_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #291
{DBGFIELD("IIC_iLoad_iu_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #292
{DBGFIELD("IIC_iLoad_si_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #293
{DBGFIELD("IIC_iMVNsi_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #294
{DBGFIELD("IIC_iALUsir_WriteALUsi_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #295
{DBGFIELD("IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 1, false, false, 111, 1, 9, 1, 64, 3}, // #296
{DBGFIELD("IIC_iMAC32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #297
{DBGFIELD("WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #298
{DBGFIELD("WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #299
{DBGFIELD("IIC_iStore_bh_i_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #300
{DBGFIELD("IIC_iStore_bh_iu_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #301
{DBGFIELD("IIC_iStore_bh_si_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #302
{DBGFIELD("IIC_iStore_d_ru_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #303
{DBGFIELD("IIC_iStore_d_r_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #304
{DBGFIELD("IIC_iStore_iu_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #305
{DBGFIELD("IIC_iStore_i_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #306
{DBGFIELD("IIC_iStore_si_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #307
{DBGFIELD("IIC_iEXTAsr_WriteALU_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #308
{DBGFIELD("IIC_iEXTr_WriteALU_ReadALU") 1, false, false, 3, 1, 3, 1, 73, 1}, // #309
{DBGFIELD("IIC_iTSTi_WriteCMP") 1, false, false, 3, 1, 0, 1, 0, 0}, // #310
{DBGFIELD("IIC_iTSTr_WriteCMP") 1, false, false, 3, 1, 0, 1, 0, 0}, // #311
{DBGFIELD("IIC_iTSTsi_WriteCMPsi") 1, false, false, 3, 1, 0, 1, 0, 0}, // #312
{DBGFIELD("IIC_iBITr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #313
{DBGFIELD("IIC_iLoad_bh_r_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #314
{DBGFIELD("IIC_iLoad_r_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #315
{DBGFIELD("IIC_iPop_WriteLd") 1, false, false, 20, 1, 9, 1, 0, 0}, // #316
{DBGFIELD("IIC_iStore_m_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #317
{DBGFIELD("IIC_iStore_bh_r_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #318
{DBGFIELD("IIC_iStore_r_WriteST") 1, false, false, 20, 1, 9, 1, 0, 0}, // #319
{DBGFIELD("IIC_iTSTr_WriteALU") 1, false, false, 3, 1, 3, 1, 0, 0}, // #320
{DBGFIELD("ANDri_ORRri_EORri_BICri") 1, false, false, 3, 1, 3, 1, 73, 1}, // #321
{DBGFIELD("ANDrr_ORRrr_EORrr_BICrr") 1, false, false, 3, 1, 3, 1, 74, 2}, // #322
{DBGFIELD("ANDrsi_ORRrsi_EORrsi_BICrsi") 1, false, false, 3, 1, 3, 1, 125, 2}, // #323
{DBGFIELD("ANDrsr_ORRrsr_EORrsr_BICrsr") 1, false, false, 3, 1, 3, 1, 127, 3}, // #324
{DBGFIELD("MOVsra_flag_MOVsrl_flag") 1, false, false, 3, 1, 3, 1, 73, 1}, // #325
{DBGFIELD("MOVsr_MOVsi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #326
{DBGFIELD("MVNsr") 1, false, false, 3, 1, 3, 1, 127, 3}, // #327
{DBGFIELD("MOVCCsi_MOVCCsr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #328
{DBGFIELD("MVNr") 1, false, false, 3, 1, 3, 1, 73, 1}, // #329
{DBGFIELD("MOVCCi32imm") 1, false, false, 3, 1, 2, 1, 0, 1}, // #330
{DBGFIELD("MOVi32imm") 1, false, false, 3, 1, 2, 1, 0, 1}, // #331
{DBGFIELD("MOV_ga_pcrel") 1, false, false, 3, 1, 3, 1, 73, 1}, // #332
{DBGFIELD("MOV_ga_pcrel_ldr") 1, false, false, 20, 1, 9, 1, 0, 1}, // #333
{DBGFIELD("SEL") 1, false, false, 3, 1, 3, 1, 74, 2}, // #334
{DBGFIELD("BFC_BFI_UBFX_SBFX") 1, false, false, 3, 1, 3, 1, 64, 2}, // #335
{DBGFIELD("MULv5_MUL_SMMUL_SMMULR") 1, false, false, 111, 1, 9, 1, 64, 2}, // #336
{DBGFIELD("MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR") 1, false, false, 111, 1, 9, 1, 64, 3}, // #337
{DBGFIELD("SMULLv5_SMULL_UMULLv5") 1, false, false, 138, 1, 49, 2, 64, 2}, // #338
{DBGFIELD("UMULL") 1, false, false, 111, 1, 9, 1, 64, 3}, // #339
{DBGFIELD("SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT") 1, false, false, 111, 1, 9, 1, 64, 3}, // #340
{DBGFIELD("SMLAD_SMLADX_SMLSD_SMLSDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #341
{DBGFIELD("SMLALD_SMLSLD") 1, false, false, 111, 1, 9, 1, 64, 3}, // #342
{DBGFIELD("SMLALDX_SMLSLDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #343
{DBGFIELD("SMUAD_SMUADX_SMUSD_SMUSDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #344
{DBGFIELD("SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT") 1, false, false, 111, 1, 9, 1, 64, 2}, // #345
{DBGFIELD("SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT") 1, false, false, 111, 1, 9, 1, 64, 3}, // #346
{DBGFIELD("LDRi12_PICLDR") 1, false, false, 20, 1, 9, 1, 64, 2}, // #347
{DBGFIELD("LDRrs") 1, false, false, 20, 1, 9, 1, 64, 2}, // #348
{DBGFIELD("LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB") 1, false, false, 20, 1, 9, 1, 64, 2}, // #349
{DBGFIELD("LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #350
{DBGFIELD("SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH") 1, false, false, 3, 1, 3, 1, 125, 2}, // #351
{DBGFIELD("t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH") 1, false, false, 3, 1, 3, 1, 125, 2}, // #352
{DBGFIELD("t2MOVCCi32imm") 1, false, false, 3, 1, 2, 1, 0, 1}, // #353
{DBGFIELD("t2MOVi32imm") 1, false, false, 3, 1, 2, 1, 0, 1}, // #354
{DBGFIELD("t2MOV_ga_pcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #355
{DBGFIELD("t2MOVi16_ga_pcrel") 1, false, false, 3, 1, 2, 1, 0, 1}, // #356
{DBGFIELD("t2SEL") 1, false, false, 3, 1, 3, 1, 74, 2}, // #357
{DBGFIELD("t2BFC_t2UBFX_t2SBFX") 1, false, false, 3, 1, 3, 1, 64, 2}, // #358
{DBGFIELD("t2BFI") 1, false, false, 3, 1, 3, 1, 64, 2}, // #359
{DBGFIELD("QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX") 1, false, false, 3, 1, 9, 1, 74, 2}, // #360
{DBGFIELD("SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX") 1, false, false, 3, 1, 9, 1, 74, 2}, // #361
{DBGFIELD("t2SSAT_t2SSAT16_t2USAT_t2USAT16") 1, false, false, 3, 1, 9, 1, 74, 2}, // #362
{DBGFIELD("SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX") 1, false, false, 3, 1, 3, 1, 74, 2}, // #363
{DBGFIELD("t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX") 1, false, false, 3, 1, 3, 1, 74, 2}, // #364
{DBGFIELD("SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX") 1, false, false, 3, 1, 3, 1, 74, 2}, // #365
{DBGFIELD("SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH") 1, false, false, 3, 1, 3, 1, 74, 2}, // #366
{DBGFIELD("t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX") 1, false, false, 3, 1, 3, 1, 74, 2}, // #367
{DBGFIELD("t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH") 1, false, false, 3, 1, 3, 1, 74, 2}, // #368
{DBGFIELD("USAD8") 1, false, false, 3, 1, 9, 1, 64, 3}, // #369
{DBGFIELD("USADA8") 1, false, false, 3, 1, 9, 1, 64, 3}, // #370
{DBGFIELD("SMUSD_SMUSDX") 1, false, false, 111, 1, 9, 1, 64, 2}, // #371
{DBGFIELD("t2MUL_t2SMMUL_t2SMMULR") 1, false, false, 111, 1, 9, 1, 64, 2}, // #372
{DBGFIELD("t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT") 1, false, false, 111, 1, 9, 1, 64, 2}, // #373
{DBGFIELD("t2SMUSD_t2SMUSDX") 1, false, false, 111, 1, 9, 1, 64, 2}, // #374
{DBGFIELD("t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR") 1, false, false, 111, 1, 9, 1, 64, 3}, // #375
{DBGFIELD("t2SMUAD_t2SMUADX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #376
{DBGFIELD("SMLSD_SMLSDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #377
{DBGFIELD("t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT") 1, false, false, 111, 1, 9, 1, 64, 3}, // #378
{DBGFIELD("t2SMLSD_t2SMLSDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #379
{DBGFIELD("t2SMLAD_t2SMLADX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #380
{DBGFIELD("SMULL") 1, false, false, 111, 1, 9, 1, 64, 3}, // #381
{DBGFIELD("t2SMULL_t2UMULL") 1, false, false, 111, 1, 9, 1, 64, 3}, // #382
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL") 1, false, false, 111, 1, 9, 1, 64, 3}, // #383
{DBGFIELD("SDIV_UDIV_t2SDIV_t2UDIV") 1, false, false, 145, 1, 33, 1, 0, 0}, // #384
{DBGFIELD("LDRi12") 1, false, false, 20, 1, 9, 1, 64, 2}, // #385
{DBGFIELD("LDRBi12") 1, false, false, 20, 1, 9, 1, 64, 2}, // #386
{DBGFIELD("LDRBrs") 1, false, false, 20, 1, 9, 1, 64, 2}, // #387
{DBGFIELD("t2LDRpci_pic") 1, false, false, 20, 1, 9, 1, 64, 2}, // #388
{DBGFIELD("t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi") 1, false, false, 20, 1, 9, 1, 64, 2}, // #389
{DBGFIELD("t2LDRs") 1, false, false, 20, 1, 9, 1, 64, 2}, // #390
{DBGFIELD("t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi") 1, false, false, 20, 1, 9, 1, 64, 2}, // #391
{DBGFIELD("t2LDRBs_t2LDRHs") 1, false, false, 20, 1, 9, 1, 64, 2}, // #392
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic") 1, false, false, 20, 1, 9, 1, 64, 2}, // #393
{DBGFIELD("tLDRBr_tLDRHr") 1, false, false, 20, 1, 9, 1, 64, 2}, // #394
{DBGFIELD("tLDRr") 1, false, false, 20, 1, 9, 1, 64, 2}, // #395
{DBGFIELD("LDRH_PICLDRB_PICLDRH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #396
{DBGFIELD("LDRcp") 1, false, false, 20, 1, 9, 1, 64, 2}, // #397
{DBGFIELD("t2LDRSBpcrel_t2LDRSHpcrel") 1, false, false, 20, 1, 9, 1, 64, 2}, // #398
{DBGFIELD("t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci") 1, false, false, 20, 1, 9, 1, 64, 2}, // #399
{DBGFIELD("t2LDRSBs_t2LDRSHs") 1, false, false, 20, 1, 9, 1, 64, 2}, // #400
{DBGFIELD("tLDRSB_tLDRSH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #401
{DBGFIELD("LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #402
{DBGFIELD("LDRB_POST_IMM_LDRB_PRE_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #403
{DBGFIELD("LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #404
{DBGFIELD("LDR_POST_IMM_LDR_PRE_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #405
{DBGFIELD("LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr") 2, false, false, 20, 1, 157, 2, 64, 2}, // #406
{DBGFIELD("t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #407
{DBGFIELD("t2LDR_POST_t2LDR_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #408
{DBGFIELD("t2LDRBT_t2LDRHT") 2, false, false, 20, 1, 157, 2, 64, 2}, // #409
{DBGFIELD("t2LDRT") 2, false, false, 20, 1, 157, 2, 64, 2}, // #410
{DBGFIELD("t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #411
{DBGFIELD("t2LDRSBT_t2LDRSHT") 2, false, false, 20, 1, 157, 2, 64, 2}, // #412
{DBGFIELD("t2LDRDi8") 1, false, false, 20, 1, 9, 1, 64, 2}, // #413
{DBGFIELD("LDRD") 1, false, false, 20, 1, 9, 1, 64, 2}, // #414
{DBGFIELD("LDRD_POST_LDRD_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #415
{DBGFIELD("t2LDRD_POST_t2LDRD_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #416
{DBGFIELD("LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #417
{DBGFIELD("LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #418
{DBGFIELD("LDMIA_RET_t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #419
{DBGFIELD("tPOP_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #420
{DBGFIELD("tPOP") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #421
{DBGFIELD("PICSTR_STRi12") 1, false, false, 20, 1, 9, 1, 130, 2}, // #422
{DBGFIELD("PICSTRB_PICSTRH_STRBi12_STRH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #423
{DBGFIELD("STRrs") 1, false, false, 20, 1, 9, 1, 130, 2}, // #424
{DBGFIELD("STRBrs") 1, false, false, 20, 1, 9, 1, 130, 2}, // #425
{DBGFIELD("STREX_STREXB_STREXD_STREXH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #426
{DBGFIELD("t2STRi12_t2STRi8_tSTRi_tSTRspi") 1, false, false, 20, 1, 9, 1, 130, 2}, // #427
{DBGFIELD("t2STRs") 1, false, false, 20, 1, 9, 1, 130, 2}, // #428
{DBGFIELD("t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi") 1, false, false, 20, 1, 9, 1, 130, 2}, // #429
{DBGFIELD("t2STRBs_t2STRHs") 1, false, false, 20, 1, 9, 1, 130, 2}, // #430
{DBGFIELD("tSTRBr_tSTRHr") 1, false, false, 20, 1, 9, 1, 130, 2}, // #431
{DBGFIELD("tSTRr") 1, false, false, 20, 1, 9, 1, 130, 2}, // #432
{DBGFIELD("STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr") 2, false, false, 20, 1, 157, 2, 130, 2}, // #433
{DBGFIELD("STRB_POST_IMM_STRB_PRE_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #434
{DBGFIELD("STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx") 2, false, false, 20, 1, 157, 2, 130, 2}, // #435
{DBGFIELD("STR_POST_IMM_STR_PRE_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #436
{DBGFIELD("STRBT_POST_STRT_POST") 2, false, false, 20, 1, 157, 2, 130, 2}, // #437
{DBGFIELD("t2STR_POST_t2STR_PRE_t2STRH_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #438
{DBGFIELD("t2STRB_POST_t2STRB_PRE_t2STRH_POST") 2, false, false, 20, 1, 157, 2, 130, 2}, // #439
{DBGFIELD("t2STR_preidx_t2STRB_preidx_t2STRH_preidx") 2, false, false, 20, 1, 157, 2, 130, 2}, // #440
{DBGFIELD("t2STRBT_t2STRHT") 2, false, false, 20, 1, 157, 2, 130, 2}, // #441
{DBGFIELD("t2STRT") 2, false, false, 20, 1, 157, 2, 130, 2}, // #442
{DBGFIELD("STRD") 1, false, false, 20, 1, 9, 1, 130, 2}, // #443
{DBGFIELD("t2STRDi8") 1, false, false, 20, 1, 9, 1, 130, 2}, // #444
{DBGFIELD("t2STRD_POST_t2STRD_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #445
{DBGFIELD("STRD_POST_STRD_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #446
{DBGFIELD("STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #447
{DBGFIELD("STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #448
{DBGFIELD("tPUSH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #449
{DBGFIELD("LDRLIT_ga_abs_tLDRLIT_ga_abs") 1, false, false, 20, 1, 9, 1, 0, 0}, // #450
{DBGFIELD("LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel") 1, false, false, 20, 1, 9, 1, 0, 0}, // #451
{DBGFIELD("LDRLIT_ga_pcrel_ldr") 1, false, false, 20, 1, 9, 1, 0, 0}, // #452
{DBGFIELD("t2IT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #453
{DBGFIELD("ITasm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #454
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq") 1, false, false, 18, 1, 9, 1, 74, 2}, // #455
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd") 1, false, false, 18, 1, 9, 1, 74, 2}, // #456
{DBGFIELD("VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16") 1, false, false, 24, 1, 16, 1, 132, 2}, // #457
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16") 1, false, false, 24, 1, 16, 1, 74, 2}, // #458
{DBGFIELD("VNEGf32q") 1, false, false, 18, 1, 26, 1, 73, 1}, // #459
{DBGFIELD("VNEGfd") 1, false, false, 18, 1, 26, 1, 73, 1}, // #460
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #461
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #462
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #463
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #464
{DBGFIELD("VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16") 1, false, false, 24, 1, 16, 1, 132, 2}, // #465
{DBGFIELD("VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8") 1, false, false, 18, 1, 16, 1, 132, 2}, // #466
{DBGFIELD("VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16") 1, false, false, 18, 1, 9, 1, 74, 2}, // #467
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8") 1, false, false, 18, 1, 26, 1, 74, 2}, // #468
{DBGFIELD("VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16") 1, false, false, 18, 1, 26, 1, 74, 2}, // #469
{DBGFIELD("VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #470
{DBGFIELD("VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #471
{DBGFIELD("VEXTd16_VEXTd32_VEXTd8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #472
{DBGFIELD("VEXTq16_VEXTq32_VEXTq64_VEXTq8") 1, false, false, 24, 1, 9, 1, 74, 2}, // #473
{DBGFIELD("VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #474
{DBGFIELD("VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #475
{DBGFIELD("VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 24, 1, 26, 1, 122, 3}, // #476
{DBGFIELD("VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16") 1, false, false, 24, 1, 26, 1, 122, 3}, // #477
{DBGFIELD("VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16") 1, false, false, 24, 1, 16, 1, 74, 2}, // #478
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #479
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd") 1, false, false, 18, 1, 9, 1, 74, 2}, // #480
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq") 1, false, false, 18, 1, 9, 1, 74, 2}, // #481
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16") 1, false, false, 24, 1, 26, 1, 132, 2}, // #482
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8") 1, false, false, 18, 1, 26, 1, 132, 2}, // #483
{DBGFIELD("VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #484
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #485
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #486
{DBGFIELD("VABSfd") 1, false, false, 18, 1, 26, 1, 73, 1}, // #487
{DBGFIELD("VABSfq") 1, false, false, 24, 1, 26, 1, 73, 1}, // #488
{DBGFIELD("VABSv16i8_VABSv4i32_VABSv8i16") 1, false, false, 24, 1, 16, 1, 73, 1}, // #489
{DBGFIELD("VABSv2i32_VABSv4i16_VABSv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #490
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16") 1, false, false, 18, 1, 26, 1, 74, 2}, // #491
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8") 1, false, false, 18, 1, 26, 1, 74, 2}, // #492
{DBGFIELD("VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16") 1, false, false, 24, 1, 26, 1, 132, 2}, // #493
{DBGFIELD("VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8") 1, false, false, 18, 1, 26, 1, 132, 2}, // #494
{DBGFIELD("VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #495
{DBGFIELD("VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #496
{DBGFIELD("VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8") 1, false, false, 24, 1, 26, 1, 132, 2}, // #497
{DBGFIELD("VSHRNv2i32_VSHRNv4i16_VSHRNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #498
{DBGFIELD("VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8") 1, false, false, 24, 1, 26, 1, 132, 2}, // #499
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #500
{DBGFIELD("VTBL1") 1, false, false, 18, 1, 16, 1, 74, 2}, // #501
{DBGFIELD("VTBX1") 1, false, false, 18, 1, 16, 1, 74, 2}, // #502
{DBGFIELD("VTBL2") 1, false, false, 18, 1, 16, 1, 74, 2}, // #503
{DBGFIELD("VTBX2") 1, false, false, 18, 1, 16, 1, 74, 2}, // #504
{DBGFIELD("VTBL3_VTBL3Pseudo") 1, false, false, 18, 1, 16, 1, 74, 2}, // #505
{DBGFIELD("VTBX3_VTBX3Pseudo") 1, false, false, 18, 1, 16, 1, 74, 2}, // #506
{DBGFIELD("VTBL4_VTBL4Pseudo") 1, false, false, 18, 1, 16, 1, 74, 2}, // #507
{DBGFIELD("VTBX4_VTBX4Pseudo") 1, false, false, 18, 1, 16, 1, 74, 2}, // #508
{DBGFIELD("VSWPd_VSWPq") 1, false, false, 18, 1, 9, 1, 74, 2}, // #509
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #510
{DBGFIELD("VTRNq16_VTRNq32_VTRNq8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #511
{DBGFIELD("VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #512
{DBGFIELD("VABSD_VNEGD") 1, false, false, 18, 1, 26, 1, 73, 1}, // #513
{DBGFIELD("VABSS_VNEGS") 1, false, false, 18, 1, 26, 1, 73, 1}, // #514
{DBGFIELD("VCMPD_VCMPZD_VCMPED_VCMPEZD") 1, false, false, 18, 1, 9, 1, 74, 2}, // #515
{DBGFIELD("VCMPS_VCMPZS_VCMPES_VCMPEZS") 1, false, false, 18, 1, 9, 1, 74, 2}, // #516
{DBGFIELD("VADDS_VSUBS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #517
{DBGFIELD("VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd") 1, false, false, 18, 1, 16, 1, 74, 2}, // #518
{DBGFIELD("VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq") 1, false, false, 18, 1, 16, 1, 74, 2}, // #519
{DBGFIELD("VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #520
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #521
{DBGFIELD("VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #522
{DBGFIELD("VADDD_VSUBD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #523
{DBGFIELD("VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #524
{DBGFIELD("VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #525
{DBGFIELD("VMULS_VNMULS") 1, false, false, 4, 1, 26, 1, 74, 2}, // #526
{DBGFIELD("VMULfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #527
{DBGFIELD("VMULfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #528
{DBGFIELD("VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #529
{DBGFIELD("VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #530
{DBGFIELD("VMULslfd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #531
{DBGFIELD("VMULslfq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #532
{DBGFIELD("VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #533
{DBGFIELD("VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #534
{DBGFIELD("VMULLp64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #535
{DBGFIELD("VMLAD_VMLSD_VNMLAD_VNMLSD") 1, false, false, 34, 2, 600, 1, 122, 3}, // #536
{DBGFIELD("VMLAH_VMLSH_VNMLAH_VNMLSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #537
{DBGFIELD("VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #538
{DBGFIELD("VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #539
{DBGFIELD("VMLAS_VMLSS_VNMLAS_VNMLSS") 1, false, false, 40, 2, 600, 1, 122, 3}, // #540
{DBGFIELD("VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #541
{DBGFIELD("VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #542
{DBGFIELD("VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #543
{DBGFIELD("VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #544
{DBGFIELD("VFMAD_VFMSD_VFNMAD_VFNMSD") 1, false, false, 34, 2, 600, 1, 122, 3}, // #545
{DBGFIELD("VFMAS_VFMSS_VFNMAS_VFNMSS") 1, false, false, 40, 2, 600, 1, 122, 3}, // #546
{DBGFIELD("VFNMAH_VFNMSH") 1, false, false, 40, 2, 600, 1, 122, 3}, // #547
{DBGFIELD("VFMAfd_VFMSfd") 1, false, false, 40, 2, 600, 1, 122, 3}, // #548
{DBGFIELD("VFMAfq_VFMSfq") 1, false, false, 34, 2, 600, 1, 122, 3}, // #549
{DBGFIELD("VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #550
{DBGFIELD("VCVTBHD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #551
{DBGFIELD("VCVTBHS_VCVTTHS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #552
{DBGFIELD("VCVTBSH_VCVTTSH") 1, false, false, 18, 1, 26, 1, 74, 2}, // #553
{DBGFIELD("VCVTDS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #554
{DBGFIELD("VCVTSD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #555
{DBGFIELD("VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq") 1, false, false, 18, 1, 26, 1, 74, 2}, // #556
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd") 1, false, false, 18, 1, 26, 1, 74, 2}, // #557
{DBGFIELD("VSITOD_VUITOD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #558
{DBGFIELD("VSITOH_VUITOH") 1, false, false, 18, 1, 26, 1, 74, 2}, // #559
{DBGFIELD("VSITOS_VUITOS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #560
{DBGFIELD("VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #561
{DBGFIELD("VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH") 1, false, false, 18, 1, 26, 1, 74, 2}, // #562
{DBGFIELD("VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #563
{DBGFIELD("VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #564
{DBGFIELD("VMOVD_VMOVDcc_FCONSTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("VMOVS_VMOVScc_FCONSTS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #566
{DBGFIELD("VMVNd_VMVNq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("VMOVNv2i32_VMOVNv4i16_VMOVNv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #568
{DBGFIELD("VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8") 1, false, false, 18, 1, 26, 1, 74, 2}, // #570
{DBGFIELD("VDUPLN16d_VDUPLN32d_VDUPLN8d") 1, false, false, 18, 1, 9, 1, 73, 1}, // #571
{DBGFIELD("VDUPLN16q_VDUPLN32q_VDUPLN8q") 1, false, false, 24, 1, 9, 1, 73, 1}, // #572
{DBGFIELD("VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q") 1, false, false, 24, 1, 9, 1, 0, 1}, // #573
{DBGFIELD("VMOVRS") 1, false, false, 18, 1, 9, 1, 0, 0}, // #574
{DBGFIELD("VMOVSR") 1, false, false, 18, 1, 9, 1, 0, 0}, // #575
{DBGFIELD("VSETLNi16_VSETLNi32_VSETLNi8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #576
{DBGFIELD("VMOVRRD_VMOVRRS") 1, false, false, 18, 1, 9, 1, 0, 0}, // #577
{DBGFIELD("VMOVDRR") 1, false, false, 18, 1, 9, 1, 0, 0}, // #578
{DBGFIELD("VMOVSRR") 1, false, false, 18, 1, 9, 1, 0, 0}, // #579
{DBGFIELD("VGETLNi32_VGETLNu16_VGETLNu8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #580
{DBGFIELD("VGETLNs16_VGETLNs8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR") 1, false, false, 0, 0, 9, 1, 0, 0}, // #582
{DBGFIELD("VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("FMSTAT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #584
{DBGFIELD("VLDRD") 1, false, false, 20, 1, 16, 1, 134, 2}, // #585
{DBGFIELD("VLDRS") 1, false, false, 20, 1, 16, 1, 134, 2}, // #586
{DBGFIELD("VSTRD") 1, false, false, 20, 1, 16, 1, 134, 2}, // #587
{DBGFIELD("VSTRS") 1, false, false, 20, 1, 16, 1, 134, 2}, // #588
{DBGFIELD("VLDMQIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #589
{DBGFIELD("VSTMQIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #590
{DBGFIELD("VLDMDIA_VLDMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #592
{DBGFIELD("VSTMDIA_VSTMSIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #593
{DBGFIELD("VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #594
{DBGFIELD("VLD1d16_VLD1d32_VLD1d64_VLD1d8") 1, false, false, 20, 1, 16, 1, 0, 0}, // #595
{DBGFIELD("VLD1q16_VLD1q32_VLD1q64_VLD1q8") 3, true, true, 9, 1, 26, 1, 0, 0}, // #596
{DBGFIELD("VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register") 1, false, false, 20, 1, 16, 1, 0, 0}, // #597
{DBGFIELD("VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register") 3, true, true, 9, 1, 26, 1, 0, 0}, // #598
{DBGFIELD("VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register") 5, true, true, 16, 1, 40, 1, 0, 0}, // #599
{DBGFIELD("VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register") 5, true, true, 16, 1, 40, 1, 0, 0}, // #600
{DBGFIELD("VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register") 7, true, true, 123, 1, 33, 1, 0, 0}, // #601
{DBGFIELD("VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register") 7, true, true, 123, 1, 33, 1, 0, 0}, // #602
{DBGFIELD("VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8") 3, true, true, 9, 1, 26, 1, 0, 0}, // #603
{DBGFIELD("VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo") 7, true, true, 123, 1, 33, 1, 0, 0}, // #604
{DBGFIELD("VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 3, true, true, 9, 1, 26, 1, 0, 0}, // #605
{DBGFIELD("VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register") 7, true, true, 123, 1, 33, 1, 0, 0}, // #606
{DBGFIELD("VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8") 5, true, true, 16, 1, 40, 1, 0, 0}, // #607
{DBGFIELD("VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo") 5, true, true, 16, 1, 40, 1, 0, 0}, // #608
{DBGFIELD("VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD") 5, true, true, 16, 1, 40, 1, 0, 0}, // #609
{DBGFIELD("VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD") 5, true, true, 16, 1, 40, 1, 0, 0}, // #610
{DBGFIELD("VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8") 7, true, true, 123, 1, 33, 1, 0, 0}, // #611
{DBGFIELD("VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo") 7, true, true, 123, 1, 33, 1, 0, 0}, // #612
{DBGFIELD("VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD") 7, true, true, 123, 1, 33, 1, 0, 0}, // #613
{DBGFIELD("VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD") 7, true, true, 123, 1, 33, 1, 0, 0}, // #614
{DBGFIELD("VLD1DUPd16_VLD1DUPd32_VLD1DUPd8") 3, true, true, 9, 1, 26, 1, 0, 0}, // #615
{DBGFIELD("VLD1DUPq16_VLD1DUPq32_VLD1DUPq8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #616
{DBGFIELD("VLD1LNd16_VLD1LNd8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #617
{DBGFIELD("VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo") 1, false, false, 20, 1, 16, 1, 0, 0}, // #618
{DBGFIELD("VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #619
{DBGFIELD("VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed") 1, false, false, 20, 1, 16, 1, 0, 0}, // #620
{DBGFIELD("VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #621
{DBGFIELD("VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #622
{DBGFIELD("VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo") 1, false, false, 20, 1, 16, 1, 0, 0}, // #623
{DBGFIELD("VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #624
{DBGFIELD("VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register") 1, false, false, 20, 1, 16, 1, 0, 0}, // #625
{DBGFIELD("VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD") 1, false, false, 20, 1, 16, 1, 0, 0}, // #626
{DBGFIELD("VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #627
{DBGFIELD("VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #628
{DBGFIELD("VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #629
{DBGFIELD("VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #630
{DBGFIELD("VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #631
{DBGFIELD("VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #632
{DBGFIELD("VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #633
{DBGFIELD("VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #634
{DBGFIELD("VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #635
{DBGFIELD("VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #636
{DBGFIELD("VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #637
{DBGFIELD("VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #638
{DBGFIELD("VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #639
{DBGFIELD("VST1d16_VST1d32_VST1d64_VST1d8") 1, false, false, 20, 1, 16, 1, 130, 2}, // #640
{DBGFIELD("VST1q16_VST1q32_VST1q64_VST1q8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #641
{DBGFIELD("VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register") 2, false, false, 20, 1, 165, 2, 130, 2}, // #642
{DBGFIELD("VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register") 4, false, false, 9, 1, 175, 2, 130, 2}, // #643
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo") 5, false, false, 16, 1, 40, 1, 130, 2}, // #644
{DBGFIELD("VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register") 6, false, false, 16, 1, 187, 2, 130, 2}, // #645
{DBGFIELD("VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register") 6, false, false, 16, 1, 187, 2, 130, 2}, // #646
{DBGFIELD("VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #647
{DBGFIELD("VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register") 8, false, false, 123, 1, 201, 2, 130, 2}, // #648
{DBGFIELD("VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register") 8, false, false, 123, 1, 201, 2, 130, 2}, // #649
{DBGFIELD("VST2b16_VST2b32_VST2b8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #650
{DBGFIELD("VST2d16_VST2d32_VST2d8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #651
{DBGFIELD("VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register") 4, false, false, 9, 1, 175, 2, 130, 2}, // #652
{DBGFIELD("VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo") 7, false, false, 123, 1, 33, 1, 130, 2}, // #653
{DBGFIELD("VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register") 8, false, false, 123, 1, 201, 2, 130, 2}, // #654
{DBGFIELD("VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register") 8, false, false, 123, 1, 201, 2, 130, 2}, // #655
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #656
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD") 8, false, false, 123, 1, 201, 2, 130, 2}, // #657
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #658
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD") 10, false, false, 158, 1, 217, 2, 130, 2}, // #659
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo") 1, false, false, 20, 1, 16, 1, 130, 2}, // #660
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #661
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo") 1, false, false, 20, 1, 16, 1, 130, 2}, // #662
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #663
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #664
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 3, false, false, 9, 1, 26, 1, 130, 2}, // #665
{DBGFIELD("VST3LNq16Pseudo_VST3LNq32Pseudo") 3, false, false, 9, 1, 26, 1, 130, 2}, // #666
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #667
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #668
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo") 3, false, false, 9, 1, 26, 1, 130, 2}, // #669
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #670
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #671
{DBGFIELD("VDIVS") 1, false, false, 157, 1, 40, 1, 0, 0}, // #672
{DBGFIELD("VSQRTS") 1, false, false, 2, 1, 40, 1, 0, 0}, // #673
{DBGFIELD("VDIVD") 1, false, false, 156, 1, 42, 1, 0, 0}, // #674
{DBGFIELD("VSQRTD") 1, false, false, 2, 1, 42, 1, 0, 0}, // #675
{DBGFIELD("ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #676
{DBGFIELD("COPY") 1, false, false, 3, 1, 3, 1, 0, 0}, // #677
{DBGFIELD("t2MOVCCi_t2MOVCCi16") 1, false, false, 3, 1, 2, 1, 0, 1}, // #678
{DBGFIELD("t2MOVi_t2MOVi16") 1, false, false, 3, 1, 2, 1, 0, 1}, // #679
{DBGFIELD("t2ABS") 1, false, false, 3, 1, 9, 1, 74, 2}, // #680
{DBGFIELD("t2USAD8_t2USADA8") 1, false, false, 3, 1, 9, 1, 64, 3}, // #681
{DBGFIELD("t2SDIV_t2UDIV") 1, false, false, 145, 1, 33, 1, 64, 2}, // #682
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #683
{DBGFIELD("LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #684
{DBGFIELD("LDRBT_POST") 2, false, false, 20, 1, 157, 2, 64, 2}, // #685
{DBGFIELD("MOVsr") 1, false, false, 3, 1, 3, 1, 73, 1}, // #686
{DBGFIELD("t2MOVSsr_t2MOVsr") 1, false, false, 3, 1, 3, 1, 73, 1}, // #687
{DBGFIELD("t2MOVsra_flag_t2MOVsrl_flag") 1, false, false, 3, 1, 3, 1, 73, 1}, // #688
{DBGFIELD("MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 3, 1, 9, 1, 71, 1}, // #689
{DBGFIELD("ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri") 1, false, false, 3, 1, 3, 1, 73, 1}, // #690
{DBGFIELD("CLZ_t2CLZ") 1, false, false, 3, 1, 3, 1, 73, 1}, // #691
{DBGFIELD("t2ANDri_t2BICri_t2EORri_t2ORRri") 1, false, false, 3, 1, 3, 1, 73, 1}, // #692
{DBGFIELD("t2MVNCCi") 1, false, false, 3, 1, 3, 1, 73, 1}, // #693
{DBGFIELD("t2MVNi") 1, false, false, 3, 1, 3, 1, 73, 1}, // #694
{DBGFIELD("t2MVNr") 1, false, false, 3, 1, 3, 1, 73, 1}, // #695
{DBGFIELD("t2MVNs") 1, false, false, 3, 1, 3, 1, 73, 1}, // #696
{DBGFIELD("ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr") 1, false, false, 3, 1, 3, 1, 74, 2}, // #697
{DBGFIELD("CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W") 1, false, false, 3, 1, 3, 1, 74, 2}, // #698
{DBGFIELD("t2ANDrr_t2BICrr_t2EORrr") 1, false, false, 3, 1, 3, 1, 74, 2}, // #699
{DBGFIELD("ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi") 1, false, false, 3, 1, 3, 1, 125, 2}, // #700
{DBGFIELD("t2ADDSrs") 1, false, false, 3, 1, 3, 1, 125, 2}, // #701
{DBGFIELD("t2ADCrs_t2ADDrs_t2SBCrs") 1, false, false, 3, 1, 3, 1, 125, 2}, // #702
{DBGFIELD("t2ANDrs_t2BICrs_t2EORrs_t2ORRrs") 1, false, false, 3, 1, 3, 1, 125, 2}, // #703
{DBGFIELD("t2RSBrs") 1, false, false, 3, 1, 3, 1, 125, 2}, // #704
{DBGFIELD("ADDSrsr") 1, false, false, 3, 1, 3, 1, 127, 3}, // #705
{DBGFIELD("ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr") 1, false, false, 3, 1, 3, 1, 127, 3}, // #706
{DBGFIELD("ADR") 1, false, false, 3, 1, 2, 1, 0, 0}, // #707
{DBGFIELD("MVNi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #708
{DBGFIELD("MVNsi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #709
{DBGFIELD("t2MOVSsi_t2MOVsi") 1, false, false, 3, 1, 2, 1, 0, 0}, // #710
{DBGFIELD("ASRi_RORi") 1, false, false, 3, 1, 2, 1, 0, 1}, // #711
{DBGFIELD("ASRr_RORr_LSRi_LSRr_LSLi_LSLr") 1, false, false, 3, 1, 2, 1, 64, 2}, // #712
{DBGFIELD("CMPri_CMNri") 1, false, false, 0, 0, 0, 1, 73, 1}, // #713
{DBGFIELD("CMPrr_CMNzrr") 1, false, false, 0, 0, 0, 1, 74, 2}, // #714
{DBGFIELD("CMPrsi_CMNzrsi") 1, false, false, 0, 0, 0, 1, 125, 2}, // #715
{DBGFIELD("CMPrsr_CMNzrsr") 1, false, false, 0, 0, 0, 1, 127, 3}, // #716
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi") 1, false, false, 3, 1, 3, 1, 0, 1}, // #717
{DBGFIELD("RBIT_REV_REV16_REVSH") 1, false, false, 3, 1, 3, 1, 0, 1}, // #718
{DBGFIELD("RRX") 1, false, false, 3, 1, 3, 1, 0, 1}, // #719
{DBGFIELD("TSTri") 1, false, false, 0, 0, 0, 1, 0, 1}, // #720
{DBGFIELD("TSTrr") 1, false, false, 0, 0, 0, 1, 0, 1}, // #721
{DBGFIELD("TSTrsi") 1, false, false, 0, 0, 0, 1, 0, 1}, // #722
{DBGFIELD("TSTrsr") 1, false, false, 0, 0, 0, 1, 0, 1}, // #723
{DBGFIELD("MRS_MRSbanked_MRSsys") 1, false, false, 20, 1, 9, 1, 0, 0}, // #724
{DBGFIELD("MSR_MSRbanked_MSRi") 1, false, false, 20, 1, 9, 1, 73, 1}, // #725
{DBGFIELD("SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW") 1, false, false, 20, 1, 9, 1, 130, 2}, // #726
{DBGFIELD("t2STREX_t2STREXB_t2STREXD_t2STREXH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #727
{DBGFIELD("STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #728
{DBGFIELD("t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #729
{DBGFIELD("VABDfd_VABDhd") 1, false, false, 18, 1, 26, 1, 74, 2}, // #730
{DBGFIELD("VABDfq_VABDhq") 1, false, false, 24, 1, 26, 1, 74, 2}, // #731
{DBGFIELD("VABSD") 1, false, false, 18, 1, 26, 1, 73, 1}, // #732
{DBGFIELD("VABSH") 1, false, false, 18, 1, 26, 1, 73, 1}, // #733
{DBGFIELD("VABSS") 1, false, false, 18, 1, 26, 1, 73, 1}, // #734
{DBGFIELD("VABShd") 1, false, false, 18, 1, 26, 1, 73, 1}, // #735
{DBGFIELD("VABShq") 1, false, false, 24, 1, 26, 1, 73, 1}, // #736
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd") 1, false, false, 18, 1, 9, 1, 74, 2}, // #737
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq") 1, false, false, 24, 1, 9, 1, 74, 2}, // #738
{DBGFIELD("VADDH_VSUBH") 1, false, false, 18, 1, 26, 1, 74, 2}, // #739
{DBGFIELD("VADDfd_VSUBfd") 1, false, false, 18, 1, 26, 1, 74, 2}, // #740
{DBGFIELD("VADDhd_VSUBhd") 1, false, false, 18, 1, 26, 1, 74, 2}, // #741
{DBGFIELD("VADDfq_VSUBfq") 1, false, false, 24, 1, 26, 1, 74, 2}, // #742
{DBGFIELD("VADDhq_VSUBhq") 1, false, false, 24, 1, 26, 1, 74, 2}, // #743
{DBGFIELD("VLDRH") 1, false, false, 20, 1, 16, 1, 134, 2}, // #744
{DBGFIELD("VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre") 1, false, false, 20, 1, 16, 1, 134, 2}, // #745
{DBGFIELD("VSTRH") 1, false, false, 20, 1, 16, 1, 134, 2}, // #746
{DBGFIELD("VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre") 1, false, false, 20, 1, 16, 1, 134, 2}, // #747
{DBGFIELD("VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 18, 1, 26, 1, 122, 3}, // #748
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #749
{DBGFIELD("VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16") 1, false, false, 24, 1, 16, 1, 74, 2}, // #750
{DBGFIELD("VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16") 1, false, false, 24, 1, 16, 1, 74, 2}, // #751
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8") 1, false, false, 18, 1, 16, 1, 132, 2}, // #752
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8") 1, false, false, 18, 1, 16, 1, 132, 2}, // #753
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16") 1, false, false, 24, 1, 16, 1, 132, 2}, // #754
{DBGFIELD("VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16") 1, false, false, 24, 1, 16, 1, 74, 2}, // #755
{DBGFIELD("VANDd_VBICd_VEORd") 1, false, false, 18, 1, 9, 1, 132, 2}, // #756
{DBGFIELD("VANDq_VBICq_VEORq") 1, false, false, 24, 1, 9, 1, 132, 2}, // #757
{DBGFIELD("VBICiv2i32_VBICiv4i16") 1, false, false, 18, 1, 9, 1, 71, 1}, // #758
{DBGFIELD("VBICiv4i32_VBICiv8i16") 1, false, false, 24, 1, 9, 1, 71, 1}, // #759
{DBGFIELD("VBIFd_VBITd") 1, false, false, 18, 1, 9, 1, 136, 3}, // #760
{DBGFIELD("VBSLd") 1, false, false, 18, 1, 9, 1, 136, 3}, // #761
{DBGFIELD("VBIFq_VBITq") 1, false, false, 24, 1, 9, 1, 136, 3}, // #762
{DBGFIELD("VBSLq") 1, false, false, 24, 1, 9, 1, 136, 3}, // #763
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16") 1, false, false, 18, 1, 9, 1, 74, 2}, // #764
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #765
{DBGFIELD("VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 18, 1, 9, 1, 74, 2}, // #766
{DBGFIELD("VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 18, 1, 9, 1, 74, 2}, // #767
{DBGFIELD("VCMPEH_VCMPEZH_VCMPH_VCMPZH") 1, false, false, 18, 1, 9, 1, 74, 2}, // #768
{DBGFIELD("VDUP16d_VDUP32d_VDUP8d") 1, false, false, 18, 1, 9, 1, 0, 1}, // #769
{DBGFIELD("VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS") 1, false, false, 18, 1, 9, 1, 74, 2}, // #770
{DBGFIELD("VFMAhd_VFMShd") 1, false, false, 40, 2, 600, 1, 122, 3}, // #771
{DBGFIELD("VFMAhq_VFMShq") 1, false, false, 34, 2, 600, 1, 122, 3}, // #772
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8") 1, false, false, 18, 1, 16, 1, 132, 2}, // #773
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16") 1, false, false, 24, 1, 16, 1, 132, 2}, // #774
{DBGFIELD("VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 18, 1, 16, 1, 74, 2}, // #775
{DBGFIELD("VPMAXf_VPMAXh_VPMINf_VPMINh") 1, false, false, 18, 1, 16, 1, 74, 2}, // #776
{DBGFIELD("VNEGH") 1, false, false, 18, 1, 26, 1, 73, 1}, // #777
{DBGFIELD("VNEGhd") 1, false, false, 18, 1, 26, 1, 73, 1}, // #778
{DBGFIELD("VNEGhq") 1, false, false, 18, 1, 26, 1, 73, 1}, // #779
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d") 1, false, false, 18, 1, 26, 1, 73, 1}, // #780
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q") 1, false, false, 18, 1, 26, 1, 73, 1}, // #781
{DBGFIELD("VPADDi16_VPADDi32_VPADDi8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #782
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8") 1, false, false, 24, 1, 16, 1, 74, 2}, // #783
{DBGFIELD("VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8") 1, false, false, 24, 1, 16, 1, 74, 2}, // #784
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8") 1, false, false, 18, 1, 26, 1, 73, 1}, // #785
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16") 1, false, false, 24, 1, 26, 1, 73, 1}, // #786
{DBGFIELD("VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 1, false, false, 34, 2, 600, 1, 122, 3}, // #787
{DBGFIELD("VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 1, false, false, 34, 2, 600, 1, 122, 3}, // #788
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 4, 1, 26, 1, 122, 3}, // #789
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 4, 1, 26, 1, 122, 3}, // #790
{DBGFIELD("VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 1, false, false, 4, 1, 26, 1, 122, 3}, // #791
{DBGFIELD("VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 4, 1, 26, 1, 122, 3}, // #792
{DBGFIELD("VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8") 1, false, false, 18, 1, 26, 1, 74, 2}, // #793
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16") 1, false, false, 18, 1, 16, 1, 74, 2}, // #794
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #795
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #796
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T") 5, false, false, 16, 1, 40, 1, 130, 2}, // #797
{DBGFIELD("VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q") 7, false, false, 123, 1, 33, 1, 130, 2}, // #798
{DBGFIELD("VST1d64QPseudo") 7, false, false, 123, 1, 33, 1, 130, 2}, // #799
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8") 1, false, false, 20, 1, 16, 1, 130, 2}, // #800
{DBGFIELD("VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8") 1, false, false, 20, 1, 16, 1, 130, 2}, // #801
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #802
{DBGFIELD("VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8") 2, false, false, 20, 1, 165, 2, 130, 2}, // #803
{DBGFIELD("VST2q16_VST2q32_VST2q8") 7, false, false, 123, 1, 33, 1, 130, 2}, // #804
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8") 1, false, false, 20, 1, 16, 1, 130, 2}, // #805
{DBGFIELD("VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8") 1, false, false, 20, 1, 16, 1, 130, 2}, // #806
{DBGFIELD("VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo") 1, false, false, 20, 1, 16, 1, 130, 2}, // #807
{DBGFIELD("VST2LNq16_VST2LNq32") 1, false, false, 20, 1, 16, 1, 130, 2}, // #808
{DBGFIELD("VST2LNqAsm_16_VST2LNqAsm_32") 1, false, false, 20, 1, 16, 1, 130, 2}, // #809
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #810
{DBGFIELD("VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8") 2, false, false, 20, 1, 165, 2, 130, 2}, // #811
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD") 2, false, false, 20, 1, 165, 2, 130, 2}, // #812
{DBGFIELD("VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32") 2, false, false, 20, 1, 165, 2, 130, 2}, // #813
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8") 7, false, false, 123, 1, 33, 1, 130, 2}, // #814
{DBGFIELD("VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8") 7, false, false, 123, 1, 33, 1, 130, 2}, // #815
{DBGFIELD("VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo") 7, false, false, 123, 1, 33, 1, 130, 2}, // #816
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #817
{DBGFIELD("VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #818
{DBGFIELD("VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 3, false, false, 9, 1, 26, 1, 130, 2}, // #819
{DBGFIELD("VST3LNqAsm_16_VST3LNqAsm_32") 3, false, false, 9, 1, 26, 1, 130, 2}, // #820
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD") 8, false, false, 123, 1, 201, 2, 130, 2}, // #821
{DBGFIELD("VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8") 8, false, false, 123, 1, 201, 2, 130, 2}, // #822
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #823
{DBGFIELD("VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8") 4, false, false, 9, 1, 175, 2, 130, 2}, // #824
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #825
{DBGFIELD("VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32") 4, false, false, 9, 1, 175, 2, 130, 2}, // #826
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8") 9, false, false, 158, 1, 27, 1, 130, 2}, // #827
{DBGFIELD("VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8") 9, false, false, 158, 1, 27, 1, 130, 2}, // #828
{DBGFIELD("VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo") 9, false, false, 158, 1, 27, 1, 130, 2}, // #829
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #830
{DBGFIELD("VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8") 3, false, false, 9, 1, 26, 1, 130, 2}, // #831
{DBGFIELD("VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo") 3, false, false, 9, 1, 26, 1, 130, 2}, // #832
{DBGFIELD("VST4LNq16_VST4LNq32") 3, false, false, 9, 1, 26, 1, 130, 2}, // #833
{DBGFIELD("VST4LNqAsm_16_VST4LNqAsm_32") 3, false, false, 9, 1, 26, 1, 130, 2}, // #834
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD") 10, false, false, 158, 1, 217, 2, 130, 2}, // #835
{DBGFIELD("VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8") 10, false, false, 158, 1, 217, 2, 130, 2}, // #836
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #837
{DBGFIELD("VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8") 4, false, false, 9, 1, 175, 2, 130, 2}, // #838
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD") 4, false, false, 9, 1, 175, 2, 130, 2}, // #839
{DBGFIELD("VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32") 4, false, false, 9, 1, 175, 2, 130, 2}, // #840
{DBGFIELD("BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #841
{DBGFIELD("t2HVC_tTRAP_SVC_tSVC") 1, false, false, 1, 1, 0, 1, 0, 0}, // #842
{DBGFIELD("t2UDF_tUDF_t__brkdiv0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #843
{DBGFIELD("LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #844
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE") 1, false, false, 3, 1, 3, 1, 0, 1}, // #845
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #846
{DBGFIELD("MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #847
{DBGFIELD("FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #848
{DBGFIELD("ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #849
{DBGFIELD("SUBS_PC_LR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #850
{DBGFIELD("B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ") 1, false, false, 1, 1, 0, 1, 0, 0}, // #851
{DBGFIELD("BXJ") 1, false, false, 1, 1, 0, 1, 0, 0}, // #852
{DBGFIELD("tBfar") 1, false, false, 3, 1, 0, 1, 0, 0}, // #853
{DBGFIELD("BL_tBL_BL_pred_tBLXi") 1, false, false, 1, 1, 0, 1, 0, 0}, // #854
{DBGFIELD("BLXi") 1, false, false, 1, 1, 0, 1, 0, 0}, // #855
{DBGFIELD("TPsoft_tTPsoft") 1, false, false, 1, 1, 0, 1, 0, 0}, // #856
{DBGFIELD("BLX_BLX_pred_tBLXNSr_tBLXr") 1, false, false, 1, 1, 0, 1, 0, 0}, // #857
{DBGFIELD("BCCi64_BCCZi64") 1, false, false, 1, 1, 0, 1, 0, 0}, // #858
{DBGFIELD("BR_JTadd_tBR_JTr_t2TBB_t2TBH") 1, false, false, 3, 1, 0, 1, 0, 0}, // #859
{DBGFIELD("BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND") 1, false, false, 1, 1, 0, 1, 0, 0}, // #860
{DBGFIELD("t2BXJ") 1, false, false, 1, 1, 0, 1, 0, 0}, // #861
{DBGFIELD("BR_JTm_i12_BR_JTm_rs") 1, false, false, 3, 1, 0, 1, 0, 0}, // #862
{DBGFIELD("tADDframe") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #863
{DBGFIELD("MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8") 1, false, false, 3, 1, 3, 1, 0, 0}, // #864
{DBGFIELD("MOVr_MOVr_TC_tMOVSr_tMOVr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #865
{DBGFIELD("MVNCCi_MOVCCi") 1, false, false, 3, 1, 3, 1, 0, 0}, // #866
{DBGFIELD("BMOVPCB_CALL_BMOVPCRX_CALL") 1, false, false, 1, 1, 0, 1, 0, 0}, // #867
{DBGFIELD("MOVCCr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #868
{DBGFIELD("tMOVCCr_pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #869
{DBGFIELD("tMVN") 1, false, false, 3, 1, 3, 1, 0, 0}, // #870
{DBGFIELD("MOVCCsi") 1, false, false, 3, 1, 3, 1, 0, 0}, // #871
{DBGFIELD("t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX") 1, false, false, 3, 1, 3, 1, 0, 0}, // #872
{DBGFIELD("LSRi_LSLi") 1, false, false, 3, 1, 2, 1, 64, 2}, // #873
{DBGFIELD("t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror") 1, false, false, 3, 1, 3, 1, 0, 0}, // #874
{DBGFIELD("t2MOVCCr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #875
{DBGFIELD("t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 3, 1, 9, 1, 71, 1}, // #876
{DBGFIELD("t2MOVr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #877
{DBGFIELD("tROR") 1, false, false, 3, 1, 3, 1, 0, 0}, // #878
{DBGFIELD("t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #879
{DBGFIELD("MOVPCRX_MOVPCLR") 1, false, false, 1, 1, 0, 1, 0, 0}, // #880
{DBGFIELD("tMUL") 1, false, false, 111, 1, 9, 1, 64, 2}, // #881
{DBGFIELD("SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8") 1, false, false, 3, 1, 3, 1, 74, 2}, // #882
{DBGFIELD("t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8") 1, false, false, 3, 1, 3, 1, 74, 2}, // #883
{DBGFIELD("SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8") 1, false, false, 3, 1, 3, 1, 74, 2}, // #884
{DBGFIELD("t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8") 1, false, false, 3, 1, 3, 1, 74, 2}, // #885
{DBGFIELD("QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8") 1, false, false, 3, 1, 9, 1, 74, 2}, // #886
{DBGFIELD("t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8") 1, false, false, 3, 1, 9, 1, 74, 2}, // #887
{DBGFIELD("QASX_QSAX_UQASX_UQSAX") 1, false, false, 3, 1, 9, 1, 74, 2}, // #888
{DBGFIELD("t2QASX_t2QSAX_t2UQASX_t2UQSAX") 1, false, false, 3, 1, 9, 1, 74, 2}, // #889
{DBGFIELD("SSAT_SSAT16_USAT_USAT16") 1, false, false, 3, 1, 9, 1, 74, 2}, // #890
{DBGFIELD("QADD_QSUB") 1, false, false, 3, 1, 9, 1, 74, 2}, // #891
{DBGFIELD("SBFX_UBFX") 1, false, false, 3, 1, 3, 1, 64, 2}, // #892
{DBGFIELD("t2SBFX_t2UBFX") 1, false, false, 3, 1, 3, 1, 64, 2}, // #893
{DBGFIELD("SXTB_SXTH_UXTB_UXTH") 1, false, false, 3, 1, 3, 1, 125, 2}, // #894
{DBGFIELD("t2SXTB_t2SXTH_t2UXTB_t2UXTH") 1, false, false, 3, 1, 3, 1, 125, 2}, // #895
{DBGFIELD("tSXTB_tSXTH_tUXTB_tUXTH") 1, false, false, 3, 1, 3, 1, 0, 0}, // #896
{DBGFIELD("SXTAB_SXTAH_UXTAB_UXTAH") 1, false, false, 3, 1, 3, 1, 74, 2}, // #897
{DBGFIELD("t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH") 1, false, false, 3, 1, 3, 1, 74, 2}, // #898
{DBGFIELD("LDRConstPool_t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #899
{DBGFIELD("PICLDRB_PICLDRH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #900
{DBGFIELD("PICLDRSB_PICLDRSH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #901
{DBGFIELD("tLDR_postidx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #902
{DBGFIELD("tLDRBi_tLDRHi") 1, false, false, 20, 1, 9, 1, 64, 2}, // #903
{DBGFIELD("tLDRi_tLDRpci_tLDRspi") 1, false, false, 20, 1, 9, 1, 64, 2}, // #904
{DBGFIELD("t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #905
{DBGFIELD("LDR_PRE_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #906
{DBGFIELD("LDRB_PRE_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #907
{DBGFIELD("t2LDRB_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #908
{DBGFIELD("LDR_PRE_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #909
{DBGFIELD("LDRB_PRE_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #910
{DBGFIELD("LDRH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #911
{DBGFIELD("LDRSB_PRE_LDRSH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #912
{DBGFIELD("t2LDRH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #913
{DBGFIELD("t2LDRSB_PRE_t2LDRSH_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #914
{DBGFIELD("t2LDR_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #915
{DBGFIELD("LDRD_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #916
{DBGFIELD("t2LDRD_PRE") 2, false, false, 20, 1, 157, 2, 64, 2}, // #917
{DBGFIELD("LDRT_POST_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #918
{DBGFIELD("LDRBT_POST_IMM") 2, false, false, 20, 1, 157, 2, 64, 2}, // #919
{DBGFIELD("LDRHTi") 2, false, false, 20, 1, 157, 2, 64, 2}, // #920
{DBGFIELD("LDRSBTi_LDRSHTi") 2, false, false, 20, 1, 157, 2, 64, 2}, // #921
{DBGFIELD("t2LDRB_POST") 2, false, false, 20, 1, 157, 2, 64, 2}, // #922
{DBGFIELD("LDRH_POST") 2, false, false, 20, 1, 157, 2, 64, 2}, // #923
{DBGFIELD("LDRSB_POST_LDRSH_POST") 2, false, false, 20, 1, 157, 2, 64, 2}, // #924
{DBGFIELD("LDR_POST_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #925
{DBGFIELD("LDRB_POST_REG") 2, false, false, 20, 1, 157, 2, 64, 2}, // #926
{DBGFIELD("LDRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #927
{DBGFIELD("PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs") 1, false, false, 20, 1, 9, 1, 0, 0}, // #928
{DBGFIELD("PLDrs_PLDWrs") 1, false, false, 20, 1, 9, 1, 0, 0}, // #929
{DBGFIELD("VLLDM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #930
{DBGFIELD("STRBi12_PICSTRB_PICSTRH") 1, false, false, 20, 1, 9, 1, 130, 2}, // #931
{DBGFIELD("t2STRBT") 2, false, false, 20, 1, 157, 2, 130, 2}, // #932
{DBGFIELD("STR_PRE_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #933
{DBGFIELD("STRB_PRE_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #934
{DBGFIELD("STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx") 2, false, false, 20, 1, 157, 2, 130, 2}, // #935
{DBGFIELD("STRH_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #936
{DBGFIELD("t2STRH_PRE_t2STR_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #937
{DBGFIELD("t2STRB_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #938
{DBGFIELD("t2STRD_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #939
{DBGFIELD("STR_PRE_REG") 2, false, false, 20, 1, 157, 2, 130, 2}, // #940
{DBGFIELD("STRB_PRE_REG") 2, false, false, 20, 1, 157, 2, 130, 2}, // #941
{DBGFIELD("STRD_PRE") 2, false, false, 20, 1, 157, 2, 130, 2}, // #942
{DBGFIELD("STRT_POST_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #943
{DBGFIELD("STRBT_POST_IMM") 2, false, false, 20, 1, 157, 2, 130, 2}, // #944
{DBGFIELD("t2STRB_POST") 2, false, false, 20, 1, 157, 2, 130, 2}, // #945
{DBGFIELD("STRBT_POST_REG_STRB_POST_REG") 2, false, false, 20, 1, 157, 2, 130, 2}, // #946
{DBGFIELD("VLSTM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD") 1, false, false, 18, 1, 26, 1, 74, 2}, // #948
{DBGFIELD("VTOSLS_VTOUHS_VTOULS") 1, false, false, 18, 1, 26, 1, 74, 2}, // #949
{DBGFIELD("VJCVT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("VSQRTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #953
{DBGFIELD("VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #954
{DBGFIELD("FCONSTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #955
{DBGFIELD("FCONSTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("FCONSTS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("VMOVHcc_VMOVH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #958
{DBGFIELD("VINSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("VSTMSIA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #960
{DBGFIELD("VSTMSDB_UPD_VSTMSIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #961
{DBGFIELD("VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #962
{DBGFIELD("VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #963
{DBGFIELD("VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #964
{DBGFIELD("VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("VMULv2i32_VMULslv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 4, 1, 26, 1, 122, 3}, // #967
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 4, 1, 26, 1, 122, 3}, // #968
{DBGFIELD("VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #971
{DBGFIELD("VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8") 1, false, false, 18, 1, 26, 1, 74, 2}, // #978
{DBGFIELD("VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 18, 1, 16, 1, 74, 2}, // #979
{DBGFIELD("VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("VPADDh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd") 1, false, false, 18, 1, 26, 1, 74, 2}, // #985
{DBGFIELD("VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq") 1, false, false, 18, 1, 26, 1, 74, 2}, // #986
{DBGFIELD("NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("VMULhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("VMULhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("VMOVD0_VMOVQ0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8") 1, false, false, 18, 1, 9, 1, 74, 2}, // #992
{DBGFIELD("VLD2d16_VLD2d32_VLD2d8") 3, true, true, 9, 1, 26, 1, 0, 0}, // #993
{DBGFIELD("VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 3, true, true, 9, 1, 26, 1, 0, 0}, // #994
{DBGFIELD("VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #995
{DBGFIELD("VLD3LNd32_UPD_VLD3LNq32_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #996
{DBGFIELD("VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #997
{DBGFIELD("VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #998
{DBGFIELD("VLD4LNd32_UPD_VLD4LNq32_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD") 3, true, true, 9, 1, 26, 1, 0, 0}, // #1000
{DBGFIELD("AESD_AESE_AESIMC_AESMC") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("SHA1SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("SHA1H_SHA1SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("SHA1C_SHA1M_SHA1P") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("SHA256SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("SHA256H_SHA256H2_SHA256SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("t2LDMDB_t2LDMIA_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("tLDRLIT_ga_abs") 1, false, false, 20, 1, 9, 1, 0, 0}, // #1011
{DBGFIELD("tLDRLIT_ga_pcrel") 1, false, false, 20, 1, 9, 1, 0, 0}, // #1012
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH") 1, false, false, 20, 1, 9, 1, 64, 2}, // #1013
{DBGFIELD("t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1014
{DBGFIELD("t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("tMOVSr_tMOVr") 1, false, false, 3, 1, 3, 1, 0, 0}, // #1016
{DBGFIELD("tMOVi8") 1, false, false, 3, 1, 3, 1, 0, 0}, // #1017
{DBGFIELD("t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("t2CLREX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX") 1, false, false, 111, 1, 9, 1, 64, 3}, // #1020
{DBGFIELD("t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH") 1, false, false, 3, 1, 3, 1, 0, 0}, // #1021
{DBGFIELD("t2CDP_t2CDP2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("t2UDF_tUDF") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("tBKPT_t2DBG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("VSETLNi32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("VGETLNi32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD") 7, true, true, 123, 1, 33, 1, 0, 0}, // #1035
{DBGFIELD("VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD") 5, true, true, 16, 1, 40, 1, 0, 0}, // #1036
{DBGFIELD("VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #1037
{DBGFIELD("VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #1038
{DBGFIELD("VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo") 3, true, true, 9, 1, 26, 1, 0, 0}, // #1039
{DBGFIELD("VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1040
{DBGFIELD("VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1041
{DBGFIELD("VMOVD0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("A57Write_2cyc_1M_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("SwiftWriteP0ThreeCycleThreeUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("SwiftWriteP01TwoCycle_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("A57Write_2cyc_1I_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("A57Write_2cyc_1M_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("SwiftWriteP01TwoCycle_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("WriteALU_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("WriteALU_NoReadAdvance") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops_anonymous_3147") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("A57Write_2cyc_1I_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("SwiftWriteP01TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("A57Write_2cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("A57Write_2cyc_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("WriteALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp)_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
{DBGFIELD("A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1110
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1111
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1112
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1113
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1114
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1115
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1116
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1117
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1118
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1119
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1120
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1121
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1122
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1123
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1124
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1125
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1126
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1127
{DBGFIELD("SwiftWriteP01OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1128
{DBGFIELD("A57Write_1cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1129
{DBGFIELD("(SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1130
{DBGFIELD("SwiftWriteP0OneCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1131
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1132
{DBGFIELD("SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1133
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1134
{DBGFIELD("SwiftWriteP0FourCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1135
{DBGFIELD("A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1136
{DBGFIELD("A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1137
{DBGFIELD("A57Write_5cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1138
{DBGFIELD("A57Write_3cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1139
{DBGFIELD("A57Write_4cyc_1I_1L_1M_A57WrBackThree") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1140
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1141
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1142
{DBGFIELD("A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1143
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1144
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1145
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1146
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1147
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1148
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1149
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1150
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1151
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1152
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1153
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1154
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1155
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1156
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1157
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1158
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1159
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1160
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1161
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS") 2, false, false, 9, 1, 15, 2, 0, 1}, // #1162
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS") 3, false, false, 16, 1, 397, 3, 0, 1}, // #1163
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS") 4, false, false, 123, 1, 410, 4, 0, 1}, // #1164
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS") 5, false, false, 158, 1, 425, 5, 0, 1}, // #1165
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS") 6, false, false, 159, 1, 855, 6, 0, 1}, // #1166
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS") 7, false, false, 160, 1, 861, 7, 0, 1}, // #1167
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS") 8, false, false, 161, 1, 868, 8, 0, 1}, // #1168
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS") 9, false, false, 162, 1, 876, 9, 0, 1}, // #1169
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS") 10, false, false, 163, 1, 885, 10, 0, 1}, // #1170
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS") 11, false, false, 164, 1, 895, 11, 0, 1}, // #1171
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS") 12, false, false, 165, 1, 906, 12, 0, 1}, // #1172
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS") 13, false, false, 166, 1, 918, 13, 0, 1}, // #1173
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS") 14, false, false, 167, 1, 931, 14, 0, 1}, // #1174
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS") 15, false, false, 168, 1, 945, 15, 0, 1}, // #1175
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 16, false, false, 169, 1, 960, 16, 0, 1}, // #1176
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 4, false, false, 123, 1, 960, 16, 0, 1}, // #1177
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1178
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1179
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1180
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1181
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1182
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1183
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1184
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1185
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1186
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1187
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1188
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1189
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1190
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1191
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1192
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1193
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1194
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1195
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1196
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1197
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1198
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1199
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1200
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1201
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1202
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1203
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1204
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1205
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1206
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1207
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1208
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1209
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS") 3, false, false, 9, 1, 976, 3, 0, 1}, // #1210
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS") 4, false, false, 16, 1, 979, 4, 0, 1}, // #1211
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS") 5, false, false, 123, 1, 983, 5, 0, 1}, // #1212
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS") 6, false, false, 158, 1, 988, 6, 0, 1}, // #1213
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS") 7, false, false, 159, 1, 994, 7, 0, 1}, // #1214
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS") 8, false, false, 160, 1, 1001, 8, 0, 1}, // #1215
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS") 9, false, false, 161, 1, 1009, 9, 0, 1}, // #1216
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS") 10, false, false, 162, 1, 1018, 10, 0, 1}, // #1217
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS") 11, false, false, 163, 1, 1028, 11, 0, 1}, // #1218
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS") 12, false, false, 164, 1, 1039, 12, 0, 1}, // #1219
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS") 13, false, false, 165, 1, 1051, 13, 0, 1}, // #1220
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS") 14, false, false, 166, 1, 1064, 14, 0, 1}, // #1221
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS") 15, false, false, 167, 1, 1078, 15, 0, 1}, // #1222
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS") 16, false, false, 168, 1, 1093, 16, 0, 1}, // #1223
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 17, false, false, 169, 1, 1109, 17, 0, 1}, // #1224
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 5, false, false, 123, 1, 1109, 17, 0, 1}, // #1225
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1226
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1227
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1228
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1229
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1230
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1231
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1232
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1233
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1234
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1235
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1236
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1237
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1238
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1239
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1240
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1241
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1242
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1243
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1244
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1245
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1246
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1247
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1248
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1249
{DBGFIELD("A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1250
{DBGFIELD("A57Write_1cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1251
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1252
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1253
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1254
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1255
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1256
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1257
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1258
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1259
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1260
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1261
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1262
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1263
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1264
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1265
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1266
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 4, false, false, 9, 1, 33, 1, 130, 2}, // #1267
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 6, false, false, 16, 1, 613, 1, 130, 2}, // #1268
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 8, false, false, 123, 1, 635, 1, 130, 2}, // #1269
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 10, false, false, 158, 1, 552, 1, 130, 2}, // #1270
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 12, false, false, 159, 1, 1126, 1, 130, 2}, // #1271
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 14, false, false, 160, 1, 1127, 1, 130, 2}, // #1272
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 16, false, false, 161, 1, 41, 1, 130, 2}, // #1273
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 18, false, false, 162, 1, 1128, 1, 130, 2}, // #1274
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 20, false, false, 163, 1, 1129, 1, 130, 2}, // #1275
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 22, false, false, 164, 1, 1130, 1, 130, 2}, // #1276
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 24, false, false, 165, 1, 1131, 1, 130, 2}, // #1277
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 26, false, false, 166, 1, 1132, 1, 130, 2}, // #1278
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 28, false, false, 167, 1, 1133, 1, 130, 2}, // #1279
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 30, false, false, 168, 1, 1134, 1, 130, 2}, // #1280
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 32, false, false, 169, 1, 1135, 1, 130, 2}, // #1281
{DBGFIELD("A57Write_2cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1282
{DBGFIELD("A57Write_3cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1283
{DBGFIELD("A57Write_4cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1284
{DBGFIELD("A57Write_5cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1285
{DBGFIELD("A57Write_6cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1286
{DBGFIELD("A57Write_7cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1287
{DBGFIELD("A57Write_8cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1288
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1289
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1290
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1291
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1292
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1293
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1294
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1295
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1296
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1297
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1298
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1299
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1300
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1301
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1302
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1303
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 5, false, false, 9, 1, 201, 2, 130, 2}, // #1304
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 7, false, false, 16, 1, 1026, 2, 130, 2}, // #1305
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 9, false, false, 123, 1, 1076, 2, 130, 2}, // #1306
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 11, false, false, 158, 1, 1136, 2, 130, 2}, // #1307
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 13, false, false, 159, 1, 1138, 2, 130, 2}, // #1308
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 15, false, false, 160, 1, 1140, 2, 130, 2}, // #1309
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 17, false, false, 161, 1, 1142, 2, 130, 2}, // #1310
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 19, false, false, 162, 1, 1144, 2, 130, 2}, // #1311
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 21, false, false, 163, 1, 1146, 2, 130, 2}, // #1312
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 23, false, false, 164, 1, 1148, 2, 130, 2}, // #1313
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 25, false, false, 165, 1, 1150, 2, 130, 2}, // #1314
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 27, false, false, 166, 1, 1152, 2, 130, 2}, // #1315
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 29, false, false, 167, 1, 1154, 2, 130, 2}, // #1316
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 31, false, false, 168, 1, 1156, 2, 130, 2}, // #1317
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 33, false, false, 169, 1, 1158, 2, 130, 2}, // #1318
{DBGFIELD("A57WrBackOne_A57Write_1cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1319
{DBGFIELD("A57WrBackOne_A57Write_2cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1320
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1321
{DBGFIELD("A57WrBackOne_A57Write_4cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1322
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1323
{DBGFIELD("A57WrBackOne_A57Write_6cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1324
{DBGFIELD("A57WrBackOne_A57Write_7cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1325
{DBGFIELD("A57WrBackOne_A57Write_8cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1326
{DBGFIELD("A57Write_6cyc_1V_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1327
{DBGFIELD("A57Write_3cyc_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1328
{DBGFIELD("A57Write_4cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1329
{DBGFIELD("A57Write_5cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1330
{DBGFIELD("A57Write_6cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1331
{DBGFIELD("A57Write_4cyc_1W_anonymous_4022") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1332
{DBGFIELD("A57Write_5cyc_1W_anonymous_4024") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1333
{DBGFIELD("A57Write_5cyc_1W_anonymous_4017") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1334
{DBGFIELD("A57Write_6cyc_1W_anonymous_4019") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1335
{DBGFIELD("SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1336
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1337
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1338
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1339
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1340
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1341
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1342
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1343
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1344
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1345
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1346
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1347
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1348
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1349
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1350
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1351
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1352
{DBGFIELD("R52WriteLM5Cy_R52ReserveLd5Cy") 6, false, false, 158, 1, 165, 2, 0, 0}, // #1353
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy") 8, false, false, 159, 1, 980, 3, 0, 0}, // #1354
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy") 7, false, false, 123, 1, 984, 4, 0, 0}, // #1355
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy") 10, false, false, 160, 1, 984, 4, 0, 0}, // #1356
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy") 12, false, false, 161, 1, 989, 5, 0, 0}, // #1357
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy") 14, false, false, 162, 1, 995, 6, 0, 0}, // #1358
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy") 16, false, false, 163, 1, 1002, 7, 0, 0}, // #1359
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy") 18, false, false, 164, 1, 1010, 8, 0, 0}, // #1360
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy") 20, false, false, 165, 1, 1019, 9, 0, 0}, // #1361
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy") 13, false, false, 158, 1, 1019, 9, 0, 0}, // #1362
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1363
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1364
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1365
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1366
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1367
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1368
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1369
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1370
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1371
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1372
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1373
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1374
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1375
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1376
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1377
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1378
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1379
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1380
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1381
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1382
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1383
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1384
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1385
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1386
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1387
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1388
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1389
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1390
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1391
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1392
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1393
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1394
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1395
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1396
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1397
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1398
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1399
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1400
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1401
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1402
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1403
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1404
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1405
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1406
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1407
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1408
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1409
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1410
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1411
{DBGFIELD("SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1412
{DBGFIELD("A57Write_10cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1413
{DBGFIELD("A57Write_12cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1414
{DBGFIELD("A57Write_14cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1415
{DBGFIELD("A57Write_16cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1416
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1417
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1418
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1419
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1420
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1421
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1422
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1423
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1424
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1425
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1426
{DBGFIELD("A57WrBackOne_A57Write_10cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1427
{DBGFIELD("A57WrBackOne_A57Write_12cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1428
{DBGFIELD("A57WrBackOne_A57Write_14cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1429
{DBGFIELD("A57WrBackOne_A57Write_16cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1430
{DBGFIELD("A57Write_4cyc_1W_anonymous_4027") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1431
{DBGFIELD("A57Write_5cyc_1W_anonymous_4029") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1432
{DBGFIELD("A57Write_4cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1433
{DBGFIELD("A57Write_2cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1434
{DBGFIELD("A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1435
{DBGFIELD("A57Write_4cyc_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1436
{DBGFIELD("A57Write_4cyc_1L_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1437
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1438
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1439
{DBGFIELD("A57WrBackTwo_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1440
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1441
{DBGFIELD("A57WrBackTwo_A57Write_1cyc_1S_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1442
{DBGFIELD("A57Write_4cyc_1W_anonymous_4012") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1443
{DBGFIELD("A57Write_5cyc_1W_anonymous_4014") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1444
}; // CortexR52ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc SwiftModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("IIC_iALUi_WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #1
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #2
{DBGFIELD("IIC_iALUsr_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #3
{DBGFIELD("IIC_iALUsr_WriteALUSsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #4
{DBGFIELD("IIC_Br_WriteBr") 1, false, false, 43, 2, 0, 1, 0, 0}, // #5
{DBGFIELD("IIC_Br_WriteBrTbl") 1, false, false, 170, 3, 0, 1, 0, 0}, // #6
{DBGFIELD("IIC_iLoad_mBr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("IIC_iLoad_i") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #8
{DBGFIELD("IIC_iLoadiALU") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #9
{DBGFIELD("IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #10
{DBGFIELD("IIC_iCMOVi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #11
{DBGFIELD("IIC_iMOVi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #12
{DBGFIELD("IIC_iCMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #13
{DBGFIELD("IIC_iCMOVr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #14
{DBGFIELD("IIC_iCMOVsr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("IIC_iMOVix2addpc") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #16
{DBGFIELD("IIC_iMOVix2ld") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #17
{DBGFIELD("IIC_iMOVix2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #18
{DBGFIELD("IIC_iMOVsi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #19
{DBGFIELD("IIC_iMUL32_WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 6, 2, 9, 1, 64, 2}, // #20
{DBGFIELD("IIC_iALUr_WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #21
{DBGFIELD("IIC_iLoad_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #22
{DBGFIELD("IIC_iLoad_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #23
{DBGFIELD("IIC_iStore_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #24
{DBGFIELD("IIC_iStore_bh_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #25
{DBGFIELD("IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #26
{DBGFIELD("IIC_iMUL64_WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 3, false, false, 173, 2, 57, 2, 64, 2}, // #27
{DBGFIELD("IIC_iStore_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("IIC_Br") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #29
{DBGFIELD("IIC_VMOVImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #30
{DBGFIELD("IIC_fpUNA64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #31
{DBGFIELD("IIC_fpUNA16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("IIC_fpUNA32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #33
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #34
{DBGFIELD("IIC_iCMOVsi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #35
{DBGFIELD("IIC_iALUsi_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #36
{DBGFIELD("IIC_iStore_ru_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #37
{DBGFIELD("IIC_iALUr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #38
{DBGFIELD("IIC_iALUi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #39
{DBGFIELD("IIC_iLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("IIC_iPop_Br_WriteBrL") 1, false, false, 43, 2, 2, 1, 0, 0}, // #41
{DBGFIELD("IIC_iALUsr_WriteALUsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #42
{DBGFIELD("IIC_iBITi_WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #43
{DBGFIELD("IIC_iBITr_WriteALU_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #44
{DBGFIELD("IIC_iBITsr_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #45
{DBGFIELD("IIC_iBITsr_WriteALUsr_ReadALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #46
{DBGFIELD("IIC_iUNAsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #47
{DBGFIELD("IIC_Br_WriteBrL") 1, false, false, 43, 2, 2, 1, 0, 0}, // #48
{DBGFIELD("WriteBrL") 1, false, false, 43, 2, 2, 1, 0, 0}, // #49
{DBGFIELD("WriteBr") 1, false, false, 43, 2, 0, 1, 0, 0}, // #50
{DBGFIELD("IIC_iUNAr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #51
{DBGFIELD("IIC_iCMPi_WriteCMP_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #52
{DBGFIELD("IIC_iCMPr_WriteCMP_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #53
{DBGFIELD("IIC_iCMPsr_WriteCMPsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #54
{DBGFIELD("IIC_iCMPsr_WriteCMPsr_ReadALU") 1, false, false, 4, 1, 2, 1, 0, 1}, // #55
{DBGFIELD("IIC_fpSTAT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #56
{DBGFIELD("IIC_iLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #57
{DBGFIELD("IIC_iLoad_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #58
{DBGFIELD("IIC_iLoad_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #59
{DBGFIELD("IIC_iLoad_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #60
{DBGFIELD("IIC_iLoad_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #61
{DBGFIELD("IIC_iLoad_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #62
{DBGFIELD("IIC_iLoad_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #63
{DBGFIELD("IIC_iLoad_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #64
{DBGFIELD("IIC_iLoad_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #65
{DBGFIELD("IIC_iMOVr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #66
{DBGFIELD("IIC_iMOVsr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #67
{DBGFIELD("IIC_iMVNi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #68
{DBGFIELD("IIC_iMVNr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #69
{DBGFIELD("IIC_iMVNsr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #70
{DBGFIELD("IIC_iBITsi_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #71
{DBGFIELD("IIC_Preload_WritePreLd") 1, false, false, 175, 1, 0, 1, 0, 0}, // #72
{DBGFIELD("IIC_iDIV_WriteDIV") 1, false, false, 3, 1, 1, 1, 0, 0}, // #73
{DBGFIELD("IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #74
{DBGFIELD("WriteMAC32_ReadMUL_ReadMUL_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #75
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #76
{DBGFIELD("WriteMUL64Lo_WriteMUL64Hi_ReadMUL_ReadMUL") 3, false, false, 173, 2, 57, 2, 64, 2}, // #77
{DBGFIELD("WriteMUL32_ReadMUL_ReadMUL") 1, false, false, 6, 2, 9, 1, 64, 2}, // #78
{DBGFIELD("IIC_iMUL16_WriteMUL16_ReadMUL_ReadMUL") 1, false, false, 6, 2, 9, 1, 64, 2}, // #79
{DBGFIELD("IIC_iStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #80
{DBGFIELD("IIC_iStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #81
{DBGFIELD("IIC_iStore_bh_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #82
{DBGFIELD("IIC_iStore_bh_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #83
{DBGFIELD("IIC_iStore_bh_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #84
{DBGFIELD("IIC_iStore_d_r") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #85
{DBGFIELD("IIC_iStore_d_ru") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #86
{DBGFIELD("IIC_iStore_iu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #87
{DBGFIELD("IIC_iStore_si") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #88
{DBGFIELD("IIC_iEXTAr_WriteALUsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #89
{DBGFIELD("IIC_iEXTr_WriteALUsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #90
{DBGFIELD("IIC_iTSTi_WriteCMP_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #91
{DBGFIELD("IIC_iTSTr_WriteCMP_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #92
{DBGFIELD("IIC_iTSTsr_WriteCMPsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #93
{DBGFIELD("IIC_iTSTsr_WriteCMPsr_ReadALU") 1, false, false, 4, 1, 2, 1, 0, 1}, // #94
{DBGFIELD("IIC_iMUL64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL") 5, false, false, 176, 2, 1160, 2, 64, 2}, // #95
{DBGFIELD("WriteALU_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #96
{DBGFIELD("IIC_VABAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #97
{DBGFIELD("IIC_VABAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #98
{DBGFIELD("IIC_VSUBi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #99
{DBGFIELD("IIC_VBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #100
{DBGFIELD("IIC_VBINQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #101
{DBGFIELD("IIC_VSUBi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #102
{DBGFIELD("IIC_VUNAD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #103
{DBGFIELD("IIC_VUNAQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #104
{DBGFIELD("IIC_VUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #105
{DBGFIELD("IIC_VUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #106
{DBGFIELD("IIC_fpALU64_WriteFPALU64") 1, false, false, 6, 2, 26, 1, 0, 0}, // #107
{DBGFIELD("IIC_fpALU16_WriteFPALU32") 1, false, false, 6, 2, 9, 1, 0, 0}, // #108
{DBGFIELD("IIC_VBINi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #109
{DBGFIELD("IIC_VSHLiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("IIC_fpALU32_WriteFPALU32") 1, false, false, 6, 2, 9, 1, 0, 0}, // #111
{DBGFIELD("IIC_VSUBiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #112
{DBGFIELD("IIC_VBINiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #113
{DBGFIELD("IIC_VBINiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #114
{DBGFIELD("IIC_VCNTiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #115
{DBGFIELD("IIC_VCNTiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #116
{DBGFIELD("IIC_VMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #117
{DBGFIELD("IIC_VMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("IIC_fpCMP64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("IIC_fpCMP16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #120
{DBGFIELD("IIC_fpCMP32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #122
{DBGFIELD("IIC_fpCVTSH_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #123
{DBGFIELD("IIC_fpCVTHS_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #124
{DBGFIELD("IIC_fpCVTDS_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #125
{DBGFIELD("IIC_fpCVTSD_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #126
{DBGFIELD("IIC_fpDIV64_WriteFPDIV64") 1, false, false, 178, 3, 41, 1, 0, 0}, // #127
{DBGFIELD("IIC_fpDIV16_WriteFPDIV32") 1, false, false, 181, 3, 42, 1, 0, 0}, // #128
{DBGFIELD("IIC_fpDIV32_WriteFPDIV32") 1, false, false, 181, 3, 42, 1, 0, 0}, // #129
{DBGFIELD("IIC_VMOVIS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #130
{DBGFIELD("IIC_VMOVD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #131
{DBGFIELD("IIC_VMOVQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #132
{DBGFIELD("IIC_VEXTD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("IIC_VEXTQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #134
{DBGFIELD("IIC_fpFMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 3}, // #135
{DBGFIELD("IIC_fpFMAC16_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 3}, // #136
{DBGFIELD("IIC_fpFMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 3}, // #137
{DBGFIELD("IIC_VFMACD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #138
{DBGFIELD("IIC_VFMACQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #139
{DBGFIELD("IIC_VMOVSI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #140
{DBGFIELD("IIC_VBINi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #141
{DBGFIELD("IIC_fpCVTDI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #142
{DBGFIELD("IIC_VLD1dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #143
{DBGFIELD("IIC_VLD1dupu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #144
{DBGFIELD("IIC_VLD1dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #145
{DBGFIELD("IIC_VLD1dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #146
{DBGFIELD("IIC_VLD1ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #147
{DBGFIELD("IIC_VLD1lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #148
{DBGFIELD("IIC_VLD1ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #149
{DBGFIELD("IIC_VLD1_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #150
{DBGFIELD("IIC_VLD1x4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #151
{DBGFIELD("IIC_VLD1x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #152
{DBGFIELD("IIC_VLD1x3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #153
{DBGFIELD("IIC_VLD1x2u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #154
{DBGFIELD("IIC_VLD1u_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #155
{DBGFIELD("IIC_VLD1x2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #156
{DBGFIELD("IIC_VLD1x2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #157
{DBGFIELD("IIC_VLD2dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #158
{DBGFIELD("IIC_VLD2dupu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #159
{DBGFIELD("IIC_VLD2dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #160
{DBGFIELD("IIC_VLD2ln_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #161
{DBGFIELD("IIC_VLD2lnu_WriteVLD1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #162
{DBGFIELD("IIC_VLD2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #163
{DBGFIELD("IIC_VLD2_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #164
{DBGFIELD("IIC_VLD2u_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #165
{DBGFIELD("IIC_VLD2x2_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #166
{DBGFIELD("IIC_VLD2x2u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #167
{DBGFIELD("IIC_VLD3dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #168
{DBGFIELD("IIC_VLD3dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #169
{DBGFIELD("IIC_VLD3ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #170
{DBGFIELD("IIC_VLD3lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #171
{DBGFIELD("IIC_VLD3_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #172
{DBGFIELD("IIC_VLD3u_WriteVLD3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #173
{DBGFIELD("IIC_VLD4dup") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #174
{DBGFIELD("IIC_VLD4dup_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #175
{DBGFIELD("IIC_VLD4dupu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #176
{DBGFIELD("IIC_VLD4ln_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #177
{DBGFIELD("IIC_VLD4lnu_WriteVLD2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #178
{DBGFIELD("IIC_VLD4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #179
{DBGFIELD("IIC_VLD4_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #180
{DBGFIELD("IIC_VLD4u_WriteVLD4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #181
{DBGFIELD("IIC_fpLoad_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #182
{DBGFIELD("IIC_fpLoad_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #183
{DBGFIELD("IIC_fpLoad64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #184
{DBGFIELD("IIC_fpLoad16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #185
{DBGFIELD("IIC_fpLoad32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #186
{DBGFIELD("IIC_fpMAC64_WriteFPMAC64_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 3}, // #187
{DBGFIELD("IIC_fpMAC16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #188
{DBGFIELD("IIC_VMACi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #189
{DBGFIELD("IIC_VMACi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #190
{DBGFIELD("IIC_fpMAC32_WriteFPMAC32_ReadFPMAC_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 3}, // #191
{DBGFIELD("IIC_VMACi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #192
{DBGFIELD("IIC_VMACi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #193
{DBGFIELD("IIC_fpMOVID_WriteFPMOV") 1, false, false, 18, 1, 3, 1, 0, 0}, // #194
{DBGFIELD("IIC_fpMOVIS_WriteFPMOV") 1, false, false, 18, 1, 3, 1, 0, 0}, // #195
{DBGFIELD("IIC_VQUNAiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #196
{DBGFIELD("IIC_VMOVN") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #197
{DBGFIELD("IIC_fpMOVSI_WriteFPMOV") 1, false, false, 18, 1, 3, 1, 0, 0}, // #198
{DBGFIELD("IIC_fpMOVDI_WriteFPMOV") 1, false, false, 18, 1, 3, 1, 0, 0}, // #199
{DBGFIELD("IIC_fpMUL64_WriteFPMUL64_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 26, 1, 64, 2}, // #200
{DBGFIELD("IIC_fpMUL16_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 2}, // #201
{DBGFIELD("IIC_VMULi16D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #202
{DBGFIELD("IIC_VMULi32D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #203
{DBGFIELD("IIC_fpMUL32_WriteFPMUL32_ReadFPMUL_ReadFPMUL") 1, false, false, 43, 2, 9, 1, 64, 2}, // #204
{DBGFIELD("IIC_VFMULD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #205
{DBGFIELD("IIC_VFMULQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #206
{DBGFIELD("IIC_VMULi16Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #207
{DBGFIELD("IIC_VMULi32Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #208
{DBGFIELD("IIC_VSHLiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #209
{DBGFIELD("IIC_VPALiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("IIC_VPALiD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #211
{DBGFIELD("IIC_VPBIND") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #212
{DBGFIELD("IIC_VQUNAiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #213
{DBGFIELD("IIC_VSHLi4Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #214
{DBGFIELD("IIC_VSHLi4D") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #215
{DBGFIELD("IIC_VRECSD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #216
{DBGFIELD("IIC_VRECSQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #217
{DBGFIELD("IIC_VDOTPROD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #218
{DBGFIELD("IIC_VMOVISL") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #219
{DBGFIELD("IIC_fpCVTID_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #220
{DBGFIELD("IIC_fpCVTIH_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #221
{DBGFIELD("IIC_fpCVTIS_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #222
{DBGFIELD("IIC_fpSQRT64_WriteFPSQRT64") 1, false, false, 178, 3, 41, 1, 0, 0}, // #223
{DBGFIELD("IIC_fpSQRT16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("IIC_fpSQRT32_WriteFPSQRT32") 1, false, false, 181, 3, 42, 1, 0, 0}, // #225
{DBGFIELD("IIC_VST1ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #226
{DBGFIELD("IIC_VST1lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #227
{DBGFIELD("IIC_VST1_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #228
{DBGFIELD("IIC_VST1x4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #229
{DBGFIELD("IIC_VLD1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #230
{DBGFIELD("IIC_VST1x3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #231
{DBGFIELD("IIC_VLD1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #232
{DBGFIELD("IIC_VLD1u_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #233
{DBGFIELD("IIC_VST1x4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #234
{DBGFIELD("IIC_VST1x3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #235
{DBGFIELD("IIC_VST1x2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #236
{DBGFIELD("IIC_VLD1x2u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #237
{DBGFIELD("IIC_VST2ln_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #238
{DBGFIELD("IIC_VST2lnu_WriteVST1") 1, false, false, 0, 0, 1, 1, 0, 0}, // #239
{DBGFIELD("IIC_VST2lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #240
{DBGFIELD("IIC_VST2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #241
{DBGFIELD("IIC_VLD1u_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #242
{DBGFIELD("IIC_VST2_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #243
{DBGFIELD("IIC_VST2x2_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #244
{DBGFIELD("IIC_VST2x2u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #245
{DBGFIELD("IIC_VLD1u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #246
{DBGFIELD("IIC_VST3ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #247
{DBGFIELD("IIC_VST3lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #248
{DBGFIELD("IIC_VST3lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #249
{DBGFIELD("IIC_VST3ln") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #250
{DBGFIELD("IIC_VST3_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #251
{DBGFIELD("IIC_VST3u_WriteVST3") 1, false, false, 0, 0, 1, 1, 0, 0}, // #252
{DBGFIELD("IIC_VST4ln_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #253
{DBGFIELD("IIC_VST4lnu_WriteVST2") 1, false, false, 0, 0, 1, 1, 0, 0}, // #254
{DBGFIELD("IIC_VST4lnu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #255
{DBGFIELD("IIC_VST4_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #256
{DBGFIELD("IIC_VST4u_WriteVST4") 1, false, false, 0, 0, 1, 1, 0, 0}, // #257
{DBGFIELD("IIC_fpStore_mu") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #258
{DBGFIELD("IIC_fpStore_m") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #259
{DBGFIELD("IIC_fpStore64") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #260
{DBGFIELD("IIC_fpStore16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #261
{DBGFIELD("IIC_fpStore32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #262
{DBGFIELD("IIC_VSUBiQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #263
{DBGFIELD("IIC_VTB1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("IIC_VTB2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #265
{DBGFIELD("IIC_VTB3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #266
{DBGFIELD("IIC_VTB4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("IIC_VTBX1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #268
{DBGFIELD("IIC_VTBX2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #269
{DBGFIELD("IIC_VTBX3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #270
{DBGFIELD("IIC_VTBX4") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #271
{DBGFIELD("IIC_fpCVTDI_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #272
{DBGFIELD("IIC_fpCVTHI_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #273
{DBGFIELD("IIC_fpCVTSI_WriteFPCVT") 1, false, false, 43, 2, 9, 1, 0, 0}, // #274
{DBGFIELD("IIC_VPERMD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #275
{DBGFIELD("IIC_VPERMQ") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #276
{DBGFIELD("IIC_VPERMQ3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #277
{DBGFIELD("IIC_iUNAsi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #278
{DBGFIELD("IIC_iBITi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #280
{DBGFIELD("IIC_iCMPi_WriteCMP") 1, false, false, 4, 1, 1, 1, 0, 0}, // #281
{DBGFIELD("IIC_iCMPr_WriteCMP") 1, false, false, 4, 1, 1, 1, 0, 0}, // #282
{DBGFIELD("IIC_iCMPsi_WriteCMPsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #283
{DBGFIELD("IIC_iALUx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #284
{DBGFIELD("WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #285
{DBGFIELD("IIC_iLoad_bh_i_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #286
{DBGFIELD("IIC_iLoad_bh_iu_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #287
{DBGFIELD("IIC_iLoad_bh_si_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #288
{DBGFIELD("IIC_iLoad_d_ru_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #289
{DBGFIELD("IIC_iLoad_d_i_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #290
{DBGFIELD("IIC_iLoad_i_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #291
{DBGFIELD("IIC_iLoad_iu_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #292
{DBGFIELD("IIC_iLoad_si_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #293
{DBGFIELD("IIC_iMVNsi_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #294
{DBGFIELD("IIC_iALUsir_WriteALUsi_ReadALU") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #296
{DBGFIELD("IIC_iMAC32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #297
{DBGFIELD("WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #298
{DBGFIELD("WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #299
{DBGFIELD("IIC_iStore_bh_i_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #300
{DBGFIELD("IIC_iStore_bh_iu_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #301
{DBGFIELD("IIC_iStore_bh_si_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #302
{DBGFIELD("IIC_iStore_d_ru_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #303
{DBGFIELD("IIC_iStore_d_r_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #304
{DBGFIELD("IIC_iStore_iu_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #305
{DBGFIELD("IIC_iStore_i_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #306
{DBGFIELD("IIC_iStore_si_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #307
{DBGFIELD("IIC_iEXTAsr_WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #308
{DBGFIELD("IIC_iEXTr_WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #309
{DBGFIELD("IIC_iTSTi_WriteCMP") 1, false, false, 4, 1, 1, 1, 0, 0}, // #310
{DBGFIELD("IIC_iTSTr_WriteCMP") 1, false, false, 4, 1, 1, 1, 0, 0}, // #311
{DBGFIELD("IIC_iTSTsi_WriteCMPsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #312
{DBGFIELD("IIC_iBITr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #313
{DBGFIELD("IIC_iLoad_bh_r_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #314
{DBGFIELD("IIC_iLoad_r_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #315
{DBGFIELD("IIC_iPop_WriteLd") 1, false, false, 18, 1, 3, 1, 0, 0}, // #316
{DBGFIELD("IIC_iStore_m_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #317
{DBGFIELD("IIC_iStore_bh_r_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #318
{DBGFIELD("IIC_iStore_r_WriteST") 1, false, false, 18, 1, 0, 1, 0, 0}, // #319
{DBGFIELD("IIC_iTSTr_WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #320
{DBGFIELD("ANDri_ORRri_EORri_BICri") 1, false, false, 4, 1, 1, 1, 0, 1}, // #321
{DBGFIELD("ANDrr_ORRrr_EORrr_BICrr") 1, false, false, 4, 1, 1, 1, 64, 2}, // #322
{DBGFIELD("ANDrsi_ORRrsi_EORrsi_BICrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #323
{DBGFIELD("ANDrsr_ORRrsr_EORrsr_BICrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("MOVsra_flag_MOVsrl_flag") 1, false, false, 4, 1, 1, 1, 0, 0}, // #325
{DBGFIELD("MOVsr_MOVsi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #326
{DBGFIELD("MVNsr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #327
{DBGFIELD("MOVCCsi_MOVCCsr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #328
{DBGFIELD("MVNr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #329
{DBGFIELD("MOVCCi32imm") 2, false, false, 32, 1, 2, 1, 0, 0}, // #330
{DBGFIELD("MOVi32imm") 2, false, false, 32, 1, 2, 1, 0, 0}, // #331
{DBGFIELD("MOV_ga_pcrel") 3, false, false, 50, 1, 3, 1, 0, 0}, // #332
{DBGFIELD("MOV_ga_pcrel_ldr") 3, false, false, 50, 1, 3, 1, 0, 0}, // #333
{DBGFIELD("SEL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #334
{DBGFIELD("BFC_BFI_UBFX_SBFX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #335
{DBGFIELD("MULv5_MUL_SMMUL_SMMULR") 1, false, false, 6, 2, 9, 1, 0, 0}, // #336
{DBGFIELD("MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("SMULLv5_SMULL_UMULLv5") 3, false, false, 173, 2, 57, 2, 64, 2}, // #338
{DBGFIELD("UMULL") 3, false, false, 173, 2, 57, 2, 0, 0}, // #339
{DBGFIELD("SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("SMLAD_SMLADX_SMLSD_SMLSDX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("SMLALD_SMLSLD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("SMLALDX_SMLSLDX") 5, false, false, 176, 2, 1160, 2, 139, 4}, // #343
{DBGFIELD("SMUAD_SMUADX_SMUSD_SMUSDX") 1, false, false, 6, 2, 9, 1, 0, 0}, // #344
{DBGFIELD("SMULBB_SMULBT_SMULTB_SMULTT_SMULWB_SMULWT") 1, false, false, 6, 2, 9, 1, 0, 0}, // #345
{DBGFIELD("SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #346
{DBGFIELD("LDRi12_PICLDR") 1, false, false, 18, 1, 3, 1, 0, 0}, // #347
{DBGFIELD("LDRrs") 1, false, false, 18, 1, 3, 1, 0, 0}, // #348
{DBGFIELD("LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB") 2, false, false, 40, 2, 9, 1, 0, 0}, // #349
{DBGFIELD("LDRHTi_LDRHTr_LDRH_POST_LDRH_PRE_LDRSHTi_LDRSHTr_LDRSH_POST_LDRSH_PRE_LDRSBTi_LDRSBTr_LDRSB_POST_LDRSB_PRE") 3, false, false, 184, 2, 69, 2, 0, 0}, // #350
{DBGFIELD("SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #351
{DBGFIELD("t2SXTB_t2SXTB16_t2SXTH_t2UXTB_t2UXTB16_t2UXTH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #352
{DBGFIELD("t2MOVCCi32imm") 2, false, false, 32, 1, 2, 1, 0, 0}, // #353
{DBGFIELD("t2MOVi32imm") 2, false, false, 32, 1, 2, 1, 0, 0}, // #354
{DBGFIELD("t2MOV_ga_pcrel") 3, false, false, 50, 1, 3, 1, 0, 0}, // #355
{DBGFIELD("t2MOVi16_ga_pcrel") 3, false, false, 50, 1, 3, 1, 0, 0}, // #356
{DBGFIELD("t2SEL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #357
{DBGFIELD("t2BFC_t2UBFX_t2SBFX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #358
{DBGFIELD("t2BFI") 1, false, false, 4, 1, 2, 1, 0, 0}, // #359
{DBGFIELD("QADD_QADD16_QADD8_QSUB_QSUB16_QSUB8_QDADD_QDSUB_QASX_QSAX_UQADD8_UQADD16_UQSUB8_UQSUB16_UQASX_UQSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #360
{DBGFIELD("SSAT_SSAT16_USAT_USAT16_t2QADD_t2QADD16_t2QADD8_t2QSUB_t2QSUB16_t2QSUB8_t2QDADD_t2QDSUB_t2QASX_t2QSAX_t2UQADD8_t2UQADD16_t2UQSUB8_t2UQSUB16_t2UQASX_t2UQSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #361
{DBGFIELD("t2SSAT_t2SSAT16_t2USAT_t2USAT16") 1, false, false, 4, 1, 2, 1, 0, 0}, // #362
{DBGFIELD("SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #363
{DBGFIELD("t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #364
{DBGFIELD("SHADD8_SHADD16_SHSUB8_SHSUB16_SHASX_SHSAX_UHADD8_UHADD16_UHSUB8_UHSUB16_UHASX_UHSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #365
{DBGFIELD("SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #366
{DBGFIELD("t2SHADD8_t2SHADD16_t2SHSUB8_t2SHSUB16_t2SHASX_t2SHSAX_t2UHADD8_t2UHADD16_t2UHSUB8_t2UHSUB16_t2UHASX_t2UHSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #367
{DBGFIELD("t2SXTAB_t2SXTAB16_t2SXTAH_t2UXTAB_t2UXTAB16_t2UXTAH") 1, false, false, 4, 1, 2, 1, 0, 0}, // #368
{DBGFIELD("USAD8") 1, false, false, 186, 3, 9, 1, 0, 0}, // #369
{DBGFIELD("USADA8") 1, false, false, 186, 3, 9, 1, 143, 3}, // #370
{DBGFIELD("SMUSD_SMUSDX") 1, false, false, 6, 2, 9, 1, 0, 0}, // #371
{DBGFIELD("t2MUL_t2SMMUL_t2SMMULR") 1, false, false, 6, 2, 9, 1, 0, 0}, // #372
{DBGFIELD("t2SMULBB_t2SMULBT_t2SMULTB_t2SMULTT_t2SMULWB_t2SMULWT") 1, false, false, 6, 2, 9, 1, 0, 0}, // #373
{DBGFIELD("t2SMUSD_t2SMUSDX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #374
{DBGFIELD("t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("t2SMUAD_t2SMUADX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("SMLSD_SMLSDX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #377
{DBGFIELD("t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #378
{DBGFIELD("t2SMLSD_t2SMLSDX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #379
{DBGFIELD("t2SMLAD_t2SMLADX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #380
{DBGFIELD("SMULL") 3, false, false, 173, 2, 57, 2, 0, 0}, // #381
{DBGFIELD("t2SMULL_t2UMULL") 3, false, false, 173, 2, 57, 2, 0, 0}, // #382
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #383
{DBGFIELD("SDIV_UDIV_t2SDIV_t2UDIV") 1, false, false, 189, 3, 623, 1, 0, 0}, // #384
{DBGFIELD("LDRi12") 1, false, false, 18, 1, 3, 1, 0, 0}, // #385
{DBGFIELD("LDRBi12") 1, false, false, 18, 1, 3, 1, 0, 0}, // #386
{DBGFIELD("LDRBrs") 1, false, false, 18, 1, 3, 1, 0, 0}, // #387
{DBGFIELD("t2LDRpci_pic") 1, false, false, 18, 1, 3, 1, 0, 0}, // #388
{DBGFIELD("t2LDRi12_t2LDRi8_t2LDRpci_tLDRi_tLDRpci_tLDRspi") 1, false, false, 18, 1, 3, 1, 0, 0}, // #389
{DBGFIELD("t2LDRs") 1, false, false, 18, 1, 3, 1, 0, 0}, // #390
{DBGFIELD("t2LDRBi12_t2LDRBi8_t2LDRBpci_t2LDRHi12_t2LDRHi8_t2LDRHpci_tLDRBi_tLDRHi") 1, false, false, 18, 1, 3, 1, 0, 0}, // #391
{DBGFIELD("t2LDRBs_t2LDRHs") 1, false, false, 18, 1, 3, 1, 0, 0}, // #392
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH_tLDRpci_pic") 1, false, false, 18, 1, 3, 1, 0, 0}, // #393
{DBGFIELD("tLDRBr_tLDRHr") 1, false, false, 18, 1, 3, 1, 0, 0}, // #394
{DBGFIELD("tLDRr") 1, false, false, 18, 1, 3, 1, 0, 0}, // #395
{DBGFIELD("LDRH_PICLDRB_PICLDRH") 1, false, false, 18, 1, 3, 1, 0, 0}, // #396
{DBGFIELD("LDRcp") 1, false, false, 18, 1, 3, 1, 0, 0}, // #397
{DBGFIELD("t2LDRSBpcrel_t2LDRSHpcrel") 2, false, false, 40, 2, 9, 1, 0, 0}, // #398
{DBGFIELD("t2LDRSBi12_t2LDRSBi8_t2LDRSBpci_t2LDRSHi12_t2LDRSHi8_t2LDRSHpci") 2, false, false, 40, 2, 9, 1, 0, 0}, // #399
{DBGFIELD("t2LDRSBs_t2LDRSHs") 2, false, false, 40, 2, 9, 1, 0, 0}, // #400
{DBGFIELD("tLDRSB_tLDRSH") 2, false, false, 40, 2, 9, 1, 0, 0}, // #401
{DBGFIELD("LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #402
{DBGFIELD("LDRB_POST_IMM_LDRB_PRE_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #403
{DBGFIELD("LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #404
{DBGFIELD("LDR_POST_IMM_LDR_PRE_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #405
{DBGFIELD("LDRH_POST_LDRH_PRE_LDRHTi_LDRHTr") 2, false, false, 40, 2, 24, 2, 0, 0}, // #406
{DBGFIELD("t2LDRB_POST_t2LDRB_PRE_t2LDRH_POST_t2LDRH_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #407
{DBGFIELD("t2LDR_POST_t2LDR_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #408
{DBGFIELD("t2LDRBT_t2LDRHT") 2, false, false, 40, 2, 24, 2, 0, 0}, // #409
{DBGFIELD("t2LDRT") 2, false, false, 40, 2, 24, 2, 0, 0}, // #410
{DBGFIELD("t2LDRSB_POST_t2LDRSB_PRE_t2LDRSH_POST_t2LDRSH_PRE") 3, false, false, 184, 2, 69, 2, 0, 0}, // #411
{DBGFIELD("t2LDRSBT_t2LDRSHT") 3, false, false, 184, 2, 69, 2, 0, 0}, // #412
{DBGFIELD("t2LDRDi8") 2, false, false, 24, 1, 31, 2, 0, 0}, // #413
{DBGFIELD("LDRD") 2, false, false, 24, 1, 31, 2, 0, 0}, // #414
{DBGFIELD("LDRD_POST_LDRD_PRE") 3, false, false, 28, 2, 1162, 3, 0, 0}, // #415
{DBGFIELD("t2LDRD_POST_t2LDRD_PRE") 3, false, false, 28, 2, 1162, 3, 0, 0}, // #416
{DBGFIELD("LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #417
{DBGFIELD("LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #418
{DBGFIELD("LDMIA_RET_t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #419
{DBGFIELD("tPOP_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #420
{DBGFIELD("tPOP") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #421
{DBGFIELD("PICSTR_STRi12") 1, false, false, 18, 1, 0, 1, 0, 0}, // #422
{DBGFIELD("PICSTRB_PICSTRH_STRBi12_STRH") 1, false, false, 18, 1, 0, 1, 0, 0}, // #423
{DBGFIELD("STRrs") 1, false, false, 18, 1, 0, 1, 0, 0}, // #424
{DBGFIELD("STRBrs") 1, false, false, 18, 1, 0, 1, 0, 0}, // #425
{DBGFIELD("STREX_STREXB_STREXD_STREXH") 1, false, false, 18, 1, 0, 1, 0, 0}, // #426
{DBGFIELD("t2STRi12_t2STRi8_tSTRi_tSTRspi") 1, false, false, 18, 1, 0, 1, 0, 0}, // #427
{DBGFIELD("t2STRs") 1, false, false, 18, 1, 0, 1, 0, 0}, // #428
{DBGFIELD("t2STRBi12_t2STRBi8_t2STRHi12_t2STRHi8_tSTRBi_tSTRHi") 1, false, false, 18, 1, 0, 1, 0, 0}, // #429
{DBGFIELD("t2STRBs_t2STRHs") 1, false, false, 18, 1, 0, 1, 0, 0}, // #430
{DBGFIELD("tSTRBr_tSTRHr") 1, false, false, 18, 1, 0, 1, 0, 0}, // #431
{DBGFIELD("tSTRr") 1, false, false, 18, 1, 0, 1, 0, 0}, // #432
{DBGFIELD("STRBT_POST_IMM_STRBT_POST_REG_STRB_POST_REG_STRB_PRE_REG_STRH_POST_STRH_PRE_STRHTi_STRHTr") 2, false, false, 40, 2, 257, 2, 0, 0}, // #433
{DBGFIELD("STRB_POST_IMM_STRB_PRE_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #434
{DBGFIELD("STRT_POST_IMM_STRT_POST_REG_STR_POST_REG_STR_PRE_REG_STRi_preidx_STRr_preidx_STRBi_preidx_STRBr_preidx_STRH_preidx") 2, false, false, 40, 2, 257, 2, 0, 0}, // #435
{DBGFIELD("STR_POST_IMM_STR_PRE_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #436
{DBGFIELD("STRBT_POST_STRT_POST") 2, false, false, 40, 2, 257, 2, 0, 0}, // #437
{DBGFIELD("t2STR_POST_t2STR_PRE_t2STRH_PRE") 2, false, false, 40, 2, 257, 2, 0, 0}, // #438
{DBGFIELD("t2STRB_POST_t2STRB_PRE_t2STRH_POST") 2, false, false, 40, 2, 257, 2, 0, 0}, // #439
{DBGFIELD("t2STR_preidx_t2STRB_preidx_t2STRH_preidx") 2, false, false, 40, 2, 257, 2, 0, 0}, // #440
{DBGFIELD("t2STRBT_t2STRHT") 2, false, false, 40, 2, 257, 2, 0, 0}, // #441
{DBGFIELD("t2STRT") 2, false, false, 40, 2, 257, 2, 0, 0}, // #442
{DBGFIELD("STRD") 3, false, false, 28, 2, 1165, 3, 0, 0}, // #443
{DBGFIELD("t2STRDi8") 3, false, false, 28, 2, 1165, 3, 0, 0}, // #444
{DBGFIELD("t2STRD_POST_t2STRD_PRE") 4, false, false, 34, 2, 1164, 4, 0, 0}, // #445
{DBGFIELD("STRD_POST_STRD_PRE") 4, false, false, 34, 2, 1164, 4, 0, 0}, // #446
{DBGFIELD("STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #447
{DBGFIELD("STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #448
{DBGFIELD("tPUSH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #449
{DBGFIELD("LDRLIT_ga_abs_tLDRLIT_ga_abs") 2, false, false, 40, 2, 24, 2, 0, 0}, // #450
{DBGFIELD("LDRLIT_ga_pcrel_tLDRLIT_ga_pcrel") 2, false, false, 40, 2, 24, 2, 0, 0}, // #451
{DBGFIELD("LDRLIT_ga_pcrel_ldr") 2, false, false, 40, 2, 24, 2, 0, 0}, // #452
{DBGFIELD("t2IT") 0, false, false, 0, 0, 0, 1, 0, 0}, // #453
{DBGFIELD("ITasm") 0, false, false, 0, 0, 0, 1, 0, 0}, // #454
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16_VANDq_VBICq_VEORq_VORNq_VORRq_VBIFq_VBITq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #455
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8_VANDd_VBICd_VEORd_VORNd_VORRd_VBIFd_VBITd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #456
{DBGFIELD("VSUBv16i8_VSUBv2i64_VSUBv4i32_VSUBv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #457
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8_VADDWsv2i64_VADDWsv4i32_VADDWsv8i16_VADDWuv2i64_VADDWuv4i32_VADDWuv8i16_VSUBWsv2i64_VSUBWsv4i32_VSUBWsv8i16_VSUBWuv2i64_VSUBWuv4i32_VSUBWuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #458
{DBGFIELD("VNEGf32q") 1, false, false, 6, 2, 2, 1, 0, 0}, // #459
{DBGFIELD("VNEGfd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #460
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d_VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16_VPADDi16_VPADDi32_VPADDi8_VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLsv1i64_VSHLsv2i32_VSHLsv4i16_VSHLsv8i8_VSHLuv1i64_VSHLuv2i32_VSHLuv4i16_VSHLuv8i8_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8_VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #461
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q_VSHLsv16i8_VSHLsv2i64_VSHLsv4i32_VSHLsv8i16_VSHLuv16i8_VSHLuv2i64_VSHLuv4i32_VSHLuv8i16_VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #462
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16_VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16_VTSTv16i8_VTSTv4i32_VTSTv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #463
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8_VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8_VTSTv2i32_VTSTv4i16_VTSTv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #464
{DBGFIELD("VHSUBsv16i8_VHSUBsv4i32_VHSUBsv8i16_VHSUBuv16i8_VHSUBuv4i32_VHSUBuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #465
{DBGFIELD("VHSUBsv2i32_VHSUBsv4i16_VHSUBsv8i8_VHSUBuv2i32_VHSUBuv4i16_VHSUBuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #466
{DBGFIELD("VBICiv2i32_VBICiv4i16_VBICiv4i32_VBICiv8i16_VORRiv2i32_VORRiv4i16_VORRiv4i32_VORRiv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #467
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLsv1i64_VQSHLsv2i32_VQSHLsv4i16_VQSHLsv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8_VQSHLuv1i64_VQSHLuv2i32_VQSHLuv4i16_VQSHLuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #468
{DBGFIELD("VQSHLsv16i8_VQSHLsv2i64_VQSHLsv4i32_VQSHLsv8i16_VQSHLuv16i8_VQSHLuv2i64_VQSHLuv4i32_VQSHLuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #469
{DBGFIELD("VBSLd_VCLSv2i32_VCLSv4i16_VCLSv8i8_VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #470
{DBGFIELD("VBSLq_VCLSv16i8_VCLSv4i32_VCLSv8i16_VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #471
{DBGFIELD("VEXTd16_VEXTd32_VEXTd8") 1, false, false, 43, 2, 2, 1, 0, 0}, // #472
{DBGFIELD("VEXTq16_VEXTq32_VEXTq64_VEXTq8") 1, false, false, 43, 2, 2, 1, 0, 0}, // #473
{DBGFIELD("VREV16d8_VREV32d16_VREV32d8_VREV64d16_VREV64d32_VREV64d8") 1, false, false, 43, 2, 2, 1, 0, 0}, // #474
{DBGFIELD("VREV16q8_VREV32q16_VREV32q8_VREV64q16_VREV64q32_VREV64q8") 1, false, false, 43, 2, 2, 1, 0, 0}, // #475
{DBGFIELD("VABALsv2i64_VABALsv4i32_VABALsv8i16_VABALuv2i64_VABALuv4i32_VABALuv8i16_VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #476
{DBGFIELD("VABAsv16i8_VABAsv4i32_VABAsv8i16_VABAuv16i8_VABAuv4i32_VABAuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #477
{DBGFIELD("VPADALsv16i8_VPADALsv4i32_VPADALsv8i16_VPADALuv16i8_VPADALuv4i32_VPADALuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #478
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8_VRSRAsv16i8_VRSRAsv1i64_VRSRAsv2i32_VRSRAsv2i64_VRSRAsv4i16_VRSRAsv4i32_VRSRAsv8i16_VRSRAsv8i8_VRSRAuv16i8_VRSRAuv1i64_VRSRAuv2i32_VRSRAuv2i64_VRSRAuv4i16_VRSRAuv4i32_VRSRAuv8i16_VRSRAuv8i8_VSRAsv16i8_VSRAsv1i64_VSRAsv2i32_VSRAsv2i64_VSRAsv4i16_VSRAsv4i32_VSRAsv8i16_VSRAsv8i8_VSRAuv16i8_VSRAuv1i64_VSRAuv2i32_VSRAuv2i64_VSRAuv4i16_VSRAuv4i32_VSRAuv8i16_VSRAuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #479
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd_VCEQfd_VCEQhd_VCGEfd_VCGEhd_VCGTfd_VCGThd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #480
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq_VCEQfq_VCEQhq_VCGEfq_VCGEhq_VCGTfq_VCGThq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #481
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16_VQSUBsv16i8_VQSUBsv2i64_VQSUBsv4i32_VQSUBsv8i16_VQSUBuv16i8_VQSUBuv2i64_VQSUBuv4i32_VQSUBuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #482
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8_VQSUBsv1i64_VQSUBsv2i32_VQSUBsv4i16_VQSUBsv8i8_VQSUBuv1i64_VQSUBuv2i32_VQSUBuv4i16_VQSUBuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #483
{DBGFIELD("VCEQzv16i8_VCEQzv2f32_VCEQzv2i32_VCEQzv4f16_VCEQzv4f32_VCEQzv4i16_VCEQzv4i32_VCEQzv8f16_VCEQzv8i16_VCEQzv8i8_VCGEzv16i8_VCGEzv2f32_VCGEzv2i32_VCGEzv4f16_VCGEzv4f32_VCGEzv4i16_VCGEzv4i32_VCGEzv8f16_VCGEzv8i16_VCGEzv8i8_VCGTzv16i8_VCGTzv2f32_VCGTzv2i32_VCGTzv4f16_VCGTzv4f32_VCGTzv4i16_VCGTzv4i32_VCGTzv8f16_VCGTzv8i16_VCGTzv8i8_VCLEzv16i8_VCLEzv2f32_VCLEzv2i32_VCLEzv4f16_VCLEzv4f32_VCLEzv4i16_VCLEzv4i32_VCLEzv8f16_VCLEzv8i16_VCLEzv8i8_VCLTzv16i8_VCLTzv2f32_VCLTzv2i32_VCLTzv4f16_VCLTzv4f32_VCLTzv4i16_VCLTzv4i32_VCLTzv8f16_VCLTzv8i16_VCLTzv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #484
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16_VQRSHLsv16i8_VQRSHLsv2i64_VQRSHLsv4i32_VQRSHLsv8i16_VQRSHLuv16i8_VQRSHLuv2i64_VQRSHLuv4i32_VQRSHLuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #485
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VQRSHLsv1i64_VQRSHLsv2i32_VQRSHLsv4i16_VQRSHLsv8i8_VQRSHLuv1i64_VQRSHLuv2i32_VQRSHLuv4i16_VQRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #486
{DBGFIELD("VABSfd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #487
{DBGFIELD("VABSfq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #488
{DBGFIELD("VABSv16i8_VABSv4i32_VABSv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #489
{DBGFIELD("VABSv2i32_VABSv4i16_VABSv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #490
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16_VQNEGv16i8_VQNEGv4i32_VQNEGv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #491
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8_VQNEGv2i32_VQNEGv4i16_VQNEGv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #492
{DBGFIELD("VQADDsv16i8_VQADDsv2i64_VQADDsv4i32_VQADDsv8i16_VQADDuv16i8_VQADDuv2i64_VQADDuv4i32_VQADDuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #493
{DBGFIELD("VQADDsv1i64_VQADDsv2i32_VQADDsv4i16_VQADDsv8i8_VQADDuv1i64_VQADDuv2i32_VQADDuv4i16_VQADDuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #494
{DBGFIELD("VRECPEd_VRECPEfd_VRECPEhd_VRSQRTEd_VRSQRTEfd_VRSQRTEhd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #495
{DBGFIELD("VRECPEfq_VRECPEhq_VRECPEq_VRSQRTEfq_VRSQRTEhq_VRSQRTEq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #496
{DBGFIELD("VADDHNv2i32_VADDHNv4i16_VADDHNv8i8_VSUBHNv2i32_VSUBHNv4i16_VSUBHNv8i8") 1, false, false, 186, 3, 9, 1, 0, 0}, // #497
{DBGFIELD("VSHRNv2i32_VSHRNv4i16_VSHRNv8i8") 1, false, false, 186, 3, 9, 1, 0, 0}, // #498
{DBGFIELD("VRADDHNv2i32_VRADDHNv4i16_VRADDHNv8i8_VRSUBHNv2i32_VRSUBHNv4i16_VRSUBHNv8i8") 1, false, false, 186, 3, 26, 1, 0, 0}, // #499
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8_VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8_VQSHRUNv2i32_VQSHRUNv4i16_VQSHRUNv8i8_VQRSHRNsv2i32_VQRSHRNsv4i16_VQRSHRNsv8i8_VQRSHRNuv2i32_VQRSHRNuv4i16_VQRSHRNuv8i8_VQRSHRUNv2i32_VQRSHRUNv4i16_VQRSHRUNv8i8") 1, false, false, 186, 3, 26, 1, 0, 0}, // #500
{DBGFIELD("VTBL1") 1, false, false, 43, 2, 2, 1, 0, 0}, // #501
{DBGFIELD("VTBX1") 1, false, false, 43, 2, 2, 1, 0, 0}, // #502
{DBGFIELD("VTBL2") 2, false, false, 192, 2, 9, 1, 0, 0}, // #503
{DBGFIELD("VTBX2") 2, false, false, 192, 2, 9, 1, 0, 0}, // #504
{DBGFIELD("VTBL3_VTBL3Pseudo") 3, false, false, 194, 2, 26, 1, 0, 0}, // #505
{DBGFIELD("VTBX3_VTBX3Pseudo") 3, false, false, 194, 2, 26, 1, 0, 0}, // #506
{DBGFIELD("VTBL4_VTBL4Pseudo") 4, false, false, 196, 2, 33, 1, 0, 0}, // #507
{DBGFIELD("VTBX4_VTBX4Pseudo") 4, false, false, 196, 2, 33, 1, 0, 0}, // #508
{DBGFIELD("VSWPd_VSWPq") 3, false, false, 194, 2, 49, 3, 71, 1}, // #509
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8_VUZPd16_VUZPd8_VZIPd16_VZIPd8") 3, false, false, 194, 2, 49, 3, 71, 1}, // #510
{DBGFIELD("VTRNq16_VTRNq32_VTRNq8") 3, false, false, 194, 2, 49, 3, 71, 1}, // #511
{DBGFIELD("VUZPq16_VUZPq32_VUZPq8_VZIPq16_VZIPq32_VZIPq8") 3, false, false, 194, 2, 49, 3, 71, 1}, // #512
{DBGFIELD("VABSD_VNEGD") 1, false, false, 6, 2, 2, 1, 0, 0}, // #513
{DBGFIELD("VABSS_VNEGS") 1, false, false, 6, 2, 2, 1, 0, 0}, // #514
{DBGFIELD("VCMPD_VCMPZD_VCMPED_VCMPEZD") 1, false, false, 6, 2, 9, 1, 0, 0}, // #515
{DBGFIELD("VCMPS_VCMPZS_VCMPES_VCMPEZS") 1, false, false, 6, 2, 9, 1, 0, 0}, // #516
{DBGFIELD("VADDS_VSUBS") 1, false, false, 6, 2, 9, 1, 0, 0}, // #517
{DBGFIELD("VADDfd_VSUBfd_VABDfd_VABDhd_VMAXfd_VMAXhd_VMINfd_VMINhd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #518
{DBGFIELD("VADDfq_VSUBfq_VABDfq_VABDhq_VMAXfq_VMAXhq_VMINfq_VMINhq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #519
{DBGFIELD("VABDLsv2i64_VABDLsv4i32_VABDLsv8i16_VABDLuv2i64_VABDLuv4i32_VABDLuv8i16_VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16_VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #520
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8_VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8_VPMAXs16_VPMAXs32_VPMAXs8_VPMAXu16_VPMAXu32_VPMAXu8_VPMINs16_VPMINs32_VPMINs8_VPMINu16_VPMINu32_VPMINu8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #521
{DBGFIELD("VPADDf_VPMAXf_VPMAXh_VPMINf_VPMINh") 1, false, false, 6, 2, 9, 1, 0, 0}, // #522
{DBGFIELD("VADDD_VSUBD") 1, false, false, 6, 2, 26, 1, 0, 0}, // #523
{DBGFIELD("VRECPSfd_VRECPShd_VRSQRTSfd_VRSQRTShd") 1, false, false, 43, 2, 33, 1, 0, 0}, // #524
{DBGFIELD("VRECPSfq_VRECPShq_VRSQRTSfq_VRSQRTShq") 1, false, false, 43, 2, 33, 1, 0, 0}, // #525
{DBGFIELD("VMULS_VNMULS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #526
{DBGFIELD("VMULfd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #527
{DBGFIELD("VMULfq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #528
{DBGFIELD("VMULpd_VMULslhd_VMULslv4i16_VMULv4i16_VMULv8i8_VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16_VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #529
{DBGFIELD("VMULpq_VMULslhq_VMULslv8i16_VMULv16i8_VMULv8i16_VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #530
{DBGFIELD("VMULslfd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #531
{DBGFIELD("VMULslfq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #532
{DBGFIELD("VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64") 1, false, false, 43, 2, 9, 1, 0, 0}, // #533
{DBGFIELD("VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #534
{DBGFIELD("VMULLp64") 1, false, false, 43, 2, 9, 1, 0, 0}, // #535
{DBGFIELD("VMLAD_VMLSD_VNMLAD_VNMLSD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #536
{DBGFIELD("VMLAH_VMLSH_VNMLAH_VNMLSH") 1, false, false, 43, 2, 9, 1, 0, 0}, // #537
{DBGFIELD("VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 1, false, false, 43, 2, 9, 1, 0, 0}, // #538
{DBGFIELD("VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #539
{DBGFIELD("VMLAS_VMLSS_VNMLAS_VNMLSS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #540
{DBGFIELD("VMLAfd_VMLAhd_VMLAslfd_VMLAslhd_VMLSfd_VMLShd_VMLSslfd_VMLSslhd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #541
{DBGFIELD("VMLAfq_VMLAhq_VMLAslfq_VMLAslhq_VMLSfq_VMLShq_VMLSslfq_VMLSslhq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #542
{DBGFIELD("VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #543
{DBGFIELD("VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #544
{DBGFIELD("VFMAD_VFMSD_VFNMAD_VFNMSD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #545
{DBGFIELD("VFMAS_VFMSS_VFNMAS_VFNMSS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #546
{DBGFIELD("VFNMAH_VFNMSH") 1, false, false, 43, 2, 9, 1, 0, 0}, // #547
{DBGFIELD("VFMAfd_VFMSfd") 1, false, false, 43, 2, 33, 1, 0, 0}, // #548
{DBGFIELD("VFMAfq_VFMSfq") 1, false, false, 43, 2, 613, 1, 0, 0}, // #549
{DBGFIELD("VCVTANSDf_VCVTANSDh_VCVTANSQf_VCVTANSQh_VCVTANUDf_VCVTANUDh_VCVTANUQf_VCVTANUQh_VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTBDH_VCVTMNSDf_VCVTMNSDh_VCVTMNSQf_VCVTMNSQh_VCVTMNUDf_VCVTMNUDh_VCVTMNUQf_VCVTMNUQh_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNNSDf_VCVTNNSDh_VCVTNNSQf_VCVTNNSQh_VCVTNNUDf_VCVTNNUDh_VCVTNNUQf_VCVTNNUQh_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPNSDf_VCVTPNSDh_VCVTPNSQf_VCVTPNSQh_VCVTPNUDf_VCVTPNUDh_VCVTPNUQf_VCVTPNUQh_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTTDH_VCVTTHD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #550
{DBGFIELD("VCVTBHD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #551
{DBGFIELD("VCVTBHS_VCVTTHS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #552
{DBGFIELD("VCVTBSH_VCVTTSH") 1, false, false, 43, 2, 9, 1, 0, 0}, // #553
{DBGFIELD("VCVTDS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #554
{DBGFIELD("VCVTSD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #555
{DBGFIELD("VCVTf2h_VCVTf2sq_VCVTf2uq_VCVTf2xsq_VCVTf2xuq_VCVTh2f_VCVTh2sq_VCVTh2uq_VCVTh2xsq_VCVTh2xuq_VCVTs2fq_VCVTs2hq_VCVTu2fq_VCVTu2hq_VCVTxs2fq_VCVTxs2hq_VCVTxu2fq_VCVTxu2hq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #556
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTh2sd_VCVTh2ud_VCVTh2xsd_VCVTh2xud_VCVTs2fd_VCVTs2hd_VCVTu2fd_VCVTu2hd_VCVTxs2fd_VCVTxs2hd_VCVTxu2fd_VCVTxu2hd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #557
{DBGFIELD("VSITOD_VUITOD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #558
{DBGFIELD("VSITOH_VUITOH") 1, false, false, 43, 2, 9, 1, 0, 0}, // #559
{DBGFIELD("VSITOS_VUITOS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #560
{DBGFIELD("VTOSHD_VTOSIRD_VTOSIZD_VTOSLD_VTOUHD_VTOUIRD_VTOUIZD_VTOULD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #561
{DBGFIELD("VTOSHH_VTOSIRH_VTOSIZH_VTOSLH_VTOUHH_VTOUIRH_VTOUIZH_VTOULH") 1, false, false, 43, 2, 9, 1, 0, 0}, // #562
{DBGFIELD("VTOSHS_VTOSIRS_VTOSIZS_VTOSLS_VTOUHS_VTOUIRS_VTOUIZS_VTOULS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #563
{DBGFIELD("VMOVv16i8_VMOVv1i64_VMOVv2f32_VMOVv2i32_VMOVv2i64_VMOVv4f32_VMOVv4i16_VMOVv4i32_VMOVv8i16_VMOVv8i8_VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #564
{DBGFIELD("VMOVD_VMOVDcc_FCONSTD") 1, false, false, 6, 2, 2, 1, 0, 0}, // #565
{DBGFIELD("VMOVS_VMOVScc_FCONSTS") 1, false, false, 6, 2, 2, 1, 0, 0}, // #566
{DBGFIELD("VMVNd_VMVNq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #567
{DBGFIELD("VMOVNv2i32_VMOVNv4i16_VMOVNv8i8") 1, false, false, 43, 2, 2, 1, 0, 0}, // #568
{DBGFIELD("VMOVLsv2i64_VMOVLsv4i32_VMOVLsv8i16_VMOVLuv2i64_VMOVLuv4i32_VMOVLuv8i16") 1, false, false, 43, 2, 2, 1, 0, 0}, // #569
{DBGFIELD("VQMOVNsuv2i32_VQMOVNsuv4i16_VQMOVNsuv8i8_VQMOVNsv2i32_VQMOVNsv4i16_VQMOVNsv8i8_VQMOVNuv2i32_VQMOVNuv4i16_VQMOVNuv8i8") 2, false, false, 186, 3, 26, 1, 0, 0}, // #570
{DBGFIELD("VDUPLN16d_VDUPLN32d_VDUPLN8d") 1, false, false, 43, 2, 2, 1, 0, 0}, // #571
{DBGFIELD("VDUPLN16q_VDUPLN32q_VDUPLN8q") 1, false, false, 43, 2, 2, 1, 0, 0}, // #572
{DBGFIELD("VDUP16d_VDUP16q_VDUP32d_VDUP32q_VDUP8d_VDUP8q") 2, false, false, 170, 3, 26, 1, 0, 0}, // #573
{DBGFIELD("VMOVRS") 1, false, false, 18, 1, 3, 1, 0, 0}, // #574
{DBGFIELD("VMOVSR") 2, false, false, 198, 3, 26, 1, 0, 0}, // #575
{DBGFIELD("VSETLNi16_VSETLNi32_VSETLNi8") 2, false, false, 198, 3, 26, 1, 0, 0}, // #576
{DBGFIELD("VMOVRRD_VMOVRRS") 2, false, false, 24, 1, 31, 2, 0, 0}, // #577
{DBGFIELD("VMOVDRR") 1, false, false, 18, 1, 9, 1, 0, 0}, // #578
{DBGFIELD("VMOVSRR") 4, false, false, 201, 3, 78, 2, 0, 0}, // #579
{DBGFIELD("VGETLNi32_VGETLNu16_VGETLNu8") 2, false, false, 170, 3, 16, 1, 0, 0}, // #580
{DBGFIELD("VGETLNs16_VGETLNs8") 3, false, false, 204, 3, 26, 1, 0, 0}, // #581
{DBGFIELD("VMRS_VMRS_FPCXTNS_VMRS_FPCXTS_VMRS_FPEXC_VMRS_FPINST_VMRS_FPINST2_VMRS_FPSCR_NZCVQC_VMRS_FPSID_VMRS_MVFR0_VMRS_MVFR1_VMRS_MVFR2_VMRS_P0_VMRS_VPR") 3, false, false, 207, 4, 1168, 3, 0, 0}, // #582
{DBGFIELD("VMSR_VMSR_FPCXTNS_VMSR_FPCXTS_VMSR_FPEXC_VMSR_FPINST_VMSR_FPINST2_VMSR_FPSCR_NZCVQC_VMSR_FPSID_VMSR_P0_VMSR_VPR") 3, false, false, 207, 4, 1168, 3, 0, 0}, // #583
{DBGFIELD("FMSTAT") 1, false, false, 6, 2, 2, 1, 0, 0}, // #584
{DBGFIELD("VLDRD") 1, false, false, 18, 1, 9, 1, 0, 0}, // #585
{DBGFIELD("VLDRS") 1, false, false, 18, 1, 9, 1, 0, 0}, // #586
{DBGFIELD("VSTRD") 1, false, false, 18, 1, 9, 1, 0, 0}, // #587
{DBGFIELD("VSTRS") 1, false, false, 18, 1, 9, 1, 0, 0}, // #588
{DBGFIELD("VLDMQIA") 1, false, false, 18, 1, 9, 1, 0, 0}, // #589
{DBGFIELD("VSTMQIA") 1, false, false, 18, 1, 9, 1, 0, 0}, // #590
{DBGFIELD("VLDMDIA_VLDMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #592
{DBGFIELD("VSTMDIA_VSTMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #593
{DBGFIELD("VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #594
{DBGFIELD("VLD1d16_VLD1d32_VLD1d64_VLD1d8") 1, false, false, 18, 1, 9, 1, 0, 0}, // #595
{DBGFIELD("VLD1q16_VLD1q32_VLD1q64_VLD1q8") 1, false, false, 18, 1, 9, 1, 0, 0}, // #596
{DBGFIELD("VLD1d16wb_fixed_VLD1d16wb_register_VLD1d32wb_fixed_VLD1d32wb_register_VLD1d64wb_fixed_VLD1d64wb_register_VLD1d8wb_fixed_VLD1d8wb_register") 2, false, false, 40, 2, 69, 2, 0, 0}, // #597
{DBGFIELD("VLD1q16wb_fixed_VLD1q16wb_register_VLD1q32wb_fixed_VLD1q32wb_register_VLD1q64wb_fixed_VLD1q64wb_register_VLD1q8wb_fixed_VLD1q8wb_register") 2, false, false, 40, 2, 69, 2, 0, 0}, // #598
{DBGFIELD("VLD1d16T_VLD1d32T_VLD1d64T_VLD1d8T_VLD1d64TPseudo_VLD1d64TPseudoWB_fixed_VLD1d64TPseudoWB_register") 1, false, false, 31, 1, 9, 1, 0, 0}, // #599
{DBGFIELD("VLD1d16Twb_fixed_VLD1d16Twb_register_VLD1d32Twb_fixed_VLD1d32Twb_register_VLD1d64Twb_fixed_VLD1d64Twb_register_VLD1d8Twb_fixed_VLD1d8Twb_register") 2, false, false, 211, 2, 69, 2, 0, 0}, // #600
{DBGFIELD("VLD1d16Q_VLD1d32Q_VLD1d64Q_VLD1d8Q_VLD1d64QPseudo_VLD1d64QPseudoWB_fixed_VLD1d64QPseudoWB_register") 1, false, false, 24, 1, 9, 1, 0, 0}, // #601
{DBGFIELD("VLD1d16Qwb_fixed_VLD1d16Qwb_register_VLD1d32Qwb_fixed_VLD1d32Qwb_register_VLD1d64Qwb_fixed_VLD1d64Qwb_register_VLD1d8Qwb_fixed_VLD1d8Qwb_register") 2, false, false, 28, 2, 69, 2, 0, 0}, // #602
{DBGFIELD("VLD2b16_VLD2b32_VLD2b8_VLD2d16_VLD2d32_VLD2d8") 5, false, false, 213, 4, 1171, 3, 0, 0}, // #603
{DBGFIELD("VLD2q16_VLD2q32_VLD2q8_VLD2q16Pseudo_VLD2q32Pseudo_VLD2q8Pseudo") 5, false, false, 213, 4, 1171, 3, 0, 0}, // #604
{DBGFIELD("VLD2b16wb_fixed_VLD2b16wb_register_VLD2b32wb_fixed_VLD2b32wb_register_VLD2b8wb_fixed_VLD2b8wb_register_VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 6, false, false, 217, 4, 1174, 4, 0, 0}, // #605
{DBGFIELD("VLD2q16wb_fixed_VLD2q16wb_register_VLD2q32wb_fixed_VLD2q32wb_register_VLD2q8wb_fixed_VLD2q8wb_register_VLD2q16PseudoWB_fixed_VLD2q16PseudoWB_register_VLD2q32PseudoWB_fixed_VLD2q32PseudoWB_register_VLD2q8PseudoWB_fixed_VLD2q8PseudoWB_register") 6, false, false, 217, 4, 1174, 4, 0, 0}, // #606
{DBGFIELD("VLD3d16_VLD3d32_VLD3d8_VLD3q16_VLD3q32_VLD3q8") 5, false, false, 221, 3, 1178, 5, 0, 0}, // #607
{DBGFIELD("VLD3d16Pseudo_VLD3d32Pseudo_VLD3d8Pseudo_VLD3q16oddPseudo_VLD3q32oddPseudo_VLD3q8oddPseudo") 5, false, false, 221, 3, 999, 3, 0, 0}, // #608
{DBGFIELD("VLD3d16_UPD_VLD3d32_UPD_VLD3d8_UPD_VLD3q16_UPD_VLD3q32_UPD_VLD3q8_UPD") 6, false, false, 224, 3, 1183, 6, 0, 0}, // #609
{DBGFIELD("VLD3d16Pseudo_UPD_VLD3d32Pseudo_UPD_VLD3d8Pseudo_UPD_VLD3q16Pseudo_UPD_VLD3q16oddPseudo_UPD_VLD3q32Pseudo_UPD_VLD3q32oddPseudo_UPD_VLD3q8Pseudo_UPD_VLD3q8oddPseudo_UPD") 6, false, false, 224, 3, 1185, 4, 0, 0}, // #610
{DBGFIELD("VLD4d16_VLD4d32_VLD4d8_VLD4q16_VLD4q32_VLD4q8") 11, false, false, 227, 4, 1189, 7, 0, 0}, // #611
{DBGFIELD("VLD4d16Pseudo_VLD4d32Pseudo_VLD4d8Pseudo_VLD4q16oddPseudo_VLD4q32oddPseudo_VLD4q8oddPseudo") 8, false, false, 231, 4, 1192, 4, 0, 0}, // #612
{DBGFIELD("VLD4d16_UPD_VLD4d32_UPD_VLD4d8_UPD_VLD4q16_UPD_VLD4q32_UPD_VLD4q8_UPD") 12, false, false, 235, 4, 1196, 8, 0, 0}, // #613
{DBGFIELD("VLD4d16Pseudo_UPD_VLD4d32Pseudo_UPD_VLD4d8Pseudo_UPD_VLD4q16Pseudo_UPD_VLD4q16oddPseudo_UPD_VLD4q32Pseudo_UPD_VLD4q32oddPseudo_UPD_VLD4q8Pseudo_UPD_VLD4q8oddPseudo_UPD") 9, false, false, 239, 4, 1199, 5, 0, 0}, // #614
{DBGFIELD("VLD1DUPd16_VLD1DUPd32_VLD1DUPd8") 3, false, false, 243, 3, 175, 2, 0, 0}, // #615
{DBGFIELD("VLD1DUPq16_VLD1DUPq32_VLD1DUPq8") 3, false, false, 243, 3, 175, 2, 0, 0}, // #616
{DBGFIELD("VLD1LNd16_VLD1LNd8") 3, false, false, 243, 3, 175, 2, 0, 0}, // #617
{DBGFIELD("VLD1LNd32_VLD1LNq16Pseudo_VLD1LNq32Pseudo_VLD1LNq8Pseudo") 3, false, false, 243, 3, 175, 2, 0, 0}, // #618
{DBGFIELD("VLD1DUPd16wb_fixed_VLD1DUPd16wb_register_VLD1DUPd32wb_fixed_VLD1DUPd32wb_register_VLD1DUPd8wb_fixed_VLD1DUPd8wb_register_VLD1DUPq16wb_register_VLD1DUPq32wb_register_VLD1DUPq8wb_register") 4, false, false, 246, 3, 1204, 3, 0, 0}, // #619
{DBGFIELD("VLD1DUPq16wb_fixed_VLD1DUPq32wb_fixed_VLD1DUPq8wb_fixed") 4, false, false, 246, 3, 1204, 3, 0, 0}, // #620
{DBGFIELD("VLD1LNd16_UPD_VLD1LNd32_UPD_VLD1LNd8_UPD_VLD1LNq16Pseudo_UPD_VLD1LNq32Pseudo_UPD_VLD1LNq8Pseudo_UPD") 4, false, false, 246, 3, 1204, 3, 0, 0}, // #621
{DBGFIELD("VLD2DUPd16_VLD2DUPd16x2_VLD2DUPd32_VLD2DUPd32x2_VLD2DUPd8_VLD2DUPd8x2") 5, false, false, 249, 4, 1207, 4, 0, 0}, // #622
{DBGFIELD("VLD2LNd16_VLD2LNd32_VLD2LNd8_VLD2LNq16_VLD2LNq32_VLD2LNd16Pseudo_VLD2LNd32Pseudo_VLD2LNd8Pseudo_VLD2LNq16Pseudo_VLD2LNq32Pseudo") 5, false, false, 249, 4, 1207, 4, 0, 0}, // #623
{DBGFIELD("VLD2LNd16_UPD_VLD2LNd32_UPD_VLD2LNd8_UPD_VLD2LNq16_UPD_VLD2LNq32_UPD") 6, false, false, 253, 4, 1211, 5, 0, 0}, // #624
{DBGFIELD("VLD2DUPd16wb_fixed_VLD2DUPd16wb_register_VLD2DUPd16x2wb_fixed_VLD2DUPd16x2wb_register_VLD2DUPd32wb_fixed_VLD2DUPd32wb_register_VLD2DUPd32x2wb_fixed_VLD2DUPd32x2wb_register_VLD2DUPd8wb_fixed_VLD2DUPd8wb_register_VLD2DUPd8x2wb_fixed_VLD2DUPd8x2wb_register") 6, false, false, 253, 4, 1216, 5, 0, 0}, // #625
{DBGFIELD("VLD2LNd16Pseudo_UPD_VLD2LNd32Pseudo_UPD_VLD2LNd8Pseudo_UPD_VLD2LNq16Pseudo_UPD_VLD2LNq32Pseudo_UPD") 6, false, false, 253, 4, 1216, 5, 0, 0}, // #626
{DBGFIELD("VLD3DUPd16_VLD3DUPd32_VLD3DUPd8_VLD3DUPq16_VLD3DUPq32_VLD3DUPq8_VLD3DUPd16Pseudo_VLD3DUPd32Pseudo_VLD3DUPd8Pseudo") 7, false, false, 257, 4, 1221, 5, 0, 0}, // #627
{DBGFIELD("VLD3LNd16_VLD3LNd32_VLD3LNd8_VLD3LNq16_VLD3LNq32_VLD3LNd16Pseudo_VLD3LNd32Pseudo_VLD3LNd8Pseudo_VLD3LNq16Pseudo_VLD3LNq32Pseudo") 7, false, false, 257, 4, 1221, 5, 0, 0}, // #628
{DBGFIELD("VLD3DUPd16_UPD_VLD3DUPd32_UPD_VLD3DUPd8_UPD_VLD3DUPq16_UPD_VLD3DUPq32_UPD_VLD3DUPq8_UPD") 8, false, false, 261, 4, 1226, 6, 0, 0}, // #629
{DBGFIELD("VLD3LNd16_UPD_VLD3LNd32_UPD_VLD3LNd8_UPD_VLD3LNq16_UPD_VLD3LNq32_UPD") 8, false, false, 261, 4, 1226, 6, 0, 0}, // #630
{DBGFIELD("VLD3DUPd16Pseudo_UPD_VLD3DUPd32Pseudo_UPD_VLD3DUPd8Pseudo_UPD") 8, false, false, 261, 4, 1232, 6, 0, 0}, // #631
{DBGFIELD("VLD3LNd16Pseudo_UPD_VLD3LNd32Pseudo_UPD_VLD3LNd8Pseudo_UPD_VLD3LNq16Pseudo_UPD_VLD3LNq32Pseudo_UPD") 8, false, false, 261, 4, 1232, 6, 0, 0}, // #632
{DBGFIELD("VLD4DUPd16_VLD4DUPd32_VLD4DUPd8_VLD4DUPq16_VLD4DUPq32_VLD4DUPq8") 8, false, false, 265, 4, 1238, 6, 0, 0}, // #633
{DBGFIELD("VLD4LNd16_VLD4LNd32_VLD4LNd8_VLD4LNq16_VLD4LNq32_VLD4LNd16Pseudo_VLD4LNd32Pseudo_VLD4LNd8Pseudo_VLD4LNq16Pseudo_VLD4LNq32Pseudo") 8, false, false, 265, 4, 1238, 6, 0, 0}, // #634
{DBGFIELD("VLD4DUPd16Pseudo_VLD4DUPd32Pseudo_VLD4DUPd8Pseudo") 8, false, false, 265, 4, 1238, 6, 0, 0}, // #635
{DBGFIELD("VLD4DUPd16_UPD_VLD4DUPd32_UPD_VLD4DUPd8_UPD_VLD4DUPq16_UPD_VLD4DUPq32_UPD_VLD4DUPq8_UPD") 9, false, false, 269, 4, 1244, 7, 0, 0}, // #636
{DBGFIELD("VLD4LNd16_UPD_VLD4LNd32_UPD_VLD4LNd8_UPD_VLD4LNq16_UPD_VLD4LNq32_UPD") 9, false, false, 269, 4, 1244, 7, 0, 0}, // #637
{DBGFIELD("VLD4DUPd16Pseudo_UPD_VLD4DUPd32Pseudo_UPD_VLD4DUPd8Pseudo_UPD") 9, false, false, 269, 4, 1251, 7, 0, 0}, // #638
{DBGFIELD("VLD4LNd16Pseudo_UPD_VLD4LNd32Pseudo_UPD_VLD4LNd8Pseudo_UPD_VLD4LNq16Pseudo_UPD_VLD4LNq32Pseudo_UPD") 9, false, false, 269, 4, 1251, 7, 0, 0}, // #639
{DBGFIELD("VST1d16_VST1d32_VST1d64_VST1d8") 1, false, false, 18, 1, 0, 1, 0, 0}, // #640
{DBGFIELD("VST1q16_VST1q32_VST1q64_VST1q8") 2, false, false, 24, 1, 0, 1, 0, 0}, // #641
{DBGFIELD("VST1d16wb_fixed_VST1d16wb_register_VST1d32wb_fixed_VST1d32wb_register_VST1d64wb_fixed_VST1d64wb_register_VST1d8wb_fixed_VST1d8wb_register") 2, false, false, 40, 2, 257, 2, 0, 0}, // #642
{DBGFIELD("VST1q16wb_fixed_VST1q16wb_register_VST1q32wb_fixed_VST1q32wb_register_VST1q64wb_fixed_VST1q64wb_register_VST1q8wb_fixed_VST1q8wb_register") 3, false, false, 28, 2, 257, 2, 0, 0}, // #643
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T_VST1d64TPseudo") 3, false, false, 31, 1, 0, 1, 0, 0}, // #644
{DBGFIELD("VST1d16Twb_fixed_VST1d16Twb_register_VST1d32Twb_fixed_VST1d32Twb_register_VST1d64Twb_fixed_VST1d64Twb_register_VST1d8Twb_fixed_VST1d8Twb_register") 4, false, false, 211, 2, 257, 2, 0, 0}, // #645
{DBGFIELD("VST1d64TPseudoWB_fixed_VST1d64TPseudoWB_register") 4, false, false, 211, 2, 257, 2, 0, 0}, // #646
{DBGFIELD("VST1d16Q_VST1d16QPseudo_VST1d32Q_VST1d32QPseudo_VST1d64Q_VST1d64QPseudo_VST1d8Q_VST1d8QPseudo") 4, false, false, 84, 1, 0, 1, 0, 0}, // #647
{DBGFIELD("VST1d16Qwb_fixed_VST1d16Qwb_register_VST1d32Qwb_fixed_VST1d32Qwb_register_VST1d64Qwb_fixed_VST1d64Qwb_register_VST1d8Qwb_fixed_VST1d8Qwb_register") 5, false, false, 273, 2, 257, 2, 0, 0}, // #648
{DBGFIELD("VST1d64QPseudoWB_fixed_VST1d64QPseudoWB_register") 5, false, false, 273, 2, 257, 2, 0, 0}, // #649
{DBGFIELD("VST2b16_VST2b32_VST2b8") 2, false, false, 170, 3, 558, 2, 0, 0}, // #650
{DBGFIELD("VST2d16_VST2d32_VST2d8") 2, false, false, 170, 3, 558, 2, 0, 0}, // #651
{DBGFIELD("VST2b16wb_fixed_VST2b16wb_register_VST2b32wb_fixed_VST2b32wb_register_VST2b8wb_fixed_VST2b8wb_register_VST2d16wb_fixed_VST2d16wb_register_VST2d32wb_fixed_VST2d32wb_register_VST2d8wb_fixed_VST2d8wb_register") 3, false, false, 204, 3, 557, 3, 0, 0}, // #652
{DBGFIELD("VST2q16_VST2q32_VST2q8_VST2q16Pseudo_VST2q32Pseudo_VST2q8Pseudo") 4, false, false, 201, 3, 558, 2, 0, 0}, // #653
{DBGFIELD("VST2q16wb_fixed_VST2q16wb_register_VST2q32wb_fixed_VST2q32wb_register_VST2q8wb_fixed_VST2q8wb_register") 4, false, false, 201, 3, 558, 2, 0, 0}, // #654
{DBGFIELD("VST2q16PseudoWB_fixed_VST2q16PseudoWB_register_VST2q32PseudoWB_fixed_VST2q32PseudoWB_register_VST2q8PseudoWB_fixed_VST2q8PseudoWB_register") 4, false, false, 201, 3, 558, 2, 0, 0}, // #655
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8_VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo_VST3q16oddPseudo_VST3q32oddPseudo_VST3q8oddPseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #656
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD_VST3d16Pseudo_UPD_VST3d32Pseudo_UPD_VST3d8Pseudo_UPD_VST3q16Pseudo_UPD_VST3q16oddPseudo_UPD_VST3q32Pseudo_UPD_VST3q32oddPseudo_UPD_VST3q8Pseudo_UPD_VST3q8oddPseudo_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #657
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8_VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo_VST4q16oddPseudo_VST4q32oddPseudo_VST4q8oddPseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #658
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD_VST4d16Pseudo_UPD_VST4d32Pseudo_UPD_VST4d8Pseudo_UPD_VST4q16Pseudo_UPD_VST4q16oddPseudo_UPD_VST4q32Pseudo_UPD_VST4q32oddPseudo_UPD_VST4q8Pseudo_UPD_VST4q8oddPseudo_UPD") 9, false, false, 281, 3, 557, 3, 0, 0}, // #659
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8_VST1LNq16Pseudo_VST1LNq32Pseudo_VST1LNq8Pseudo") 2, false, false, 170, 3, 558, 2, 0, 0}, // #660
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD_VST1LNq16Pseudo_UPD_VST1LNq32Pseudo_UPD_VST1LNq8Pseudo_UPD") 3, false, false, 204, 3, 557, 3, 0, 0}, // #661
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8_VST2LNq16_VST2LNq32_VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo_VST2LNq16Pseudo_VST2LNq32Pseudo") 3, false, false, 243, 3, 558, 2, 0, 0}, // #662
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD_VST2LNq16_UPD_VST2LNq32_UPD") 4, false, false, 246, 3, 557, 3, 0, 0}, // #663
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD_VST2LNq16Pseudo_UPD_VST2LNq32Pseudo_UPD") 4, false, false, 246, 3, 557, 3, 0, 0}, // #664
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8_VST3LNq16_VST3LNq32_VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #665
{DBGFIELD("VST3LNq16Pseudo_VST3LNq32Pseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #666
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD_VST3LNq16_UPD_VST3LNq32_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #667
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD_VST3LNq16Pseudo_UPD_VST3LNq32Pseudo_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #668
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8_VST4LNq16_VST4LNq32_VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo_VST4LNq16Pseudo_VST4LNq32Pseudo") 4, false, false, 201, 3, 558, 2, 0, 0}, // #669
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD_VST4LNq16_UPD_VST4LNq32_UPD") 5, false, false, 250, 3, 557, 3, 0, 0}, // #670
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD_VST4LNq16Pseudo_UPD_VST4LNq32Pseudo_UPD") 5, false, false, 250, 3, 557, 3, 0, 0}, // #671
{DBGFIELD("VDIVS") 1, false, false, 181, 3, 42, 1, 0, 0}, // #672
{DBGFIELD("VSQRTS") 1, false, false, 181, 3, 42, 1, 0, 0}, // #673
{DBGFIELD("VDIVD") 1, false, false, 178, 3, 41, 1, 0, 0}, // #674
{DBGFIELD("VSQRTD") 1, false, false, 178, 3, 41, 1, 0, 0}, // #675
{DBGFIELD("ABS") 2, false, false, 32, 1, 2, 1, 0, 0}, // #676
{DBGFIELD("COPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #677
{DBGFIELD("t2MOVCCi_t2MOVCCi16") 1, false, false, 4, 1, 1, 1, 0, 0}, // #678
{DBGFIELD("t2MOVi_t2MOVi16") 1, false, false, 4, 1, 1, 1, 0, 0}, // #679
{DBGFIELD("t2ABS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #680
{DBGFIELD("t2USAD8_t2USADA8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #681
{DBGFIELD("t2SDIV_t2UDIV") 1, false, false, 189, 3, 623, 1, 0, 0}, // #682
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH_t2LDA_t2LDAB_t2LDAEX_t2LDAEXB_t2LDAEXD_t2LDAEXH_t2LDAH") 1, false, false, 18, 1, 3, 1, 0, 0}, // #683
{DBGFIELD("LDA_LDAB_LDAEX_LDAEXB_LDAEXD_LDAEXH_LDAH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #684
{DBGFIELD("LDRBT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #685
{DBGFIELD("MOVsr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #686
{DBGFIELD("t2MOVSsr_t2MOVsr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #687
{DBGFIELD("t2MOVsra_flag_t2MOVsrl_flag") 1, false, false, 4, 1, 1, 1, 0, 0}, // #688
{DBGFIELD("MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 4, 1, 1, 1, 0, 0}, // #689
{DBGFIELD("ADDSri_ADCri_ADDri_RSBSri_RSBri_RSCri_SBCri_t2ADDSri_t2ADCri_t2ADDri_t2ADDri12_t2RSBSri_t2RSBri_t2SBCri") 1, false, false, 4, 1, 1, 1, 0, 1}, // #690
{DBGFIELD("CLZ_t2CLZ") 1, false, false, 4, 1, 1, 1, 0, 0}, // #691
{DBGFIELD("t2ANDri_t2BICri_t2EORri_t2ORRri") 1, false, false, 4, 1, 1, 1, 0, 1}, // #692
{DBGFIELD("t2MVNCCi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #693
{DBGFIELD("t2MVNi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #694
{DBGFIELD("t2MVNr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #695
{DBGFIELD("t2MVNs") 1, false, false, 4, 1, 1, 1, 0, 0}, // #696
{DBGFIELD("ADDSrr_ADCrr_ADDrr_RSBrr_RSCrr_SBCrr_t2ADDSrr_t2ADCrr_t2ADDrr_t2SBCrr") 1, false, false, 4, 1, 1, 1, 64, 2}, // #697
{DBGFIELD("CRC32B_CRC32CB_CRC32CH_CRC32CW_CRC32H_CRC32W_t2CRC32B_t2CRC32CB_t2CRC32CH_t2CRC32CW_t2CRC32H_t2CRC32W") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #698
{DBGFIELD("t2ANDrr_t2BICrr_t2EORrr") 1, false, false, 4, 1, 1, 1, 64, 2}, // #699
{DBGFIELD("ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #700
{DBGFIELD("t2ADDSrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #701
{DBGFIELD("t2ADCrs_t2ADDrs_t2SBCrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #702
{DBGFIELD("t2ANDrs_t2BICrs_t2EORrs_t2ORRrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #703
{DBGFIELD("t2RSBrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("ADDSrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #705
{DBGFIELD("ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #706
{DBGFIELD("ADR") 1, false, false, 4, 1, 1, 1, 0, 1}, // #707
{DBGFIELD("MVNi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #708
{DBGFIELD("MVNsi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #709
{DBGFIELD("t2MOVSsi_t2MOVsi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #710
{DBGFIELD("ASRi_RORi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #711
{DBGFIELD("ASRr_RORr_LSRi_LSRr_LSLi_LSLr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #712
{DBGFIELD("CMPri_CMNri") 1, false, false, 4, 1, 1, 1, 0, 1}, // #713
{DBGFIELD("CMPrr_CMNzrr") 1, false, false, 4, 1, 1, 1, 64, 2}, // #714
{DBGFIELD("CMPrsi_CMNzrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #715
{DBGFIELD("CMPrsr_CMNzrsr") 1, false, false, 4, 1, 2, 1, 0, 1}, // #716
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #717
{DBGFIELD("RBIT_REV_REV16_REVSH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #718
{DBGFIELD("RRX") 1, false, false, 4, 1, 1, 1, 0, 0}, // #719
{DBGFIELD("TSTri") 1, false, false, 4, 1, 1, 1, 0, 1}, // #720
{DBGFIELD("TSTrr") 1, false, false, 4, 1, 1, 1, 64, 2}, // #721
{DBGFIELD("TSTrsi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #722
{DBGFIELD("TSTrsr") 1, false, false, 4, 1, 2, 1, 0, 1}, // #723
{DBGFIELD("MRS_MRSbanked_MRSsys") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("MSR_MSRbanked_MSRi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("SRSDA_SRSDA_UPD_SRSDB_SRSDB_UPD_SRSIA_SRSIA_UPD_SRSIB_SRSIB_UPD_t2SRSDB_t2SRSDB_UPD_t2SRSIA_t2SRSIA_UPD_RFEDA_RFEDA_UPD_RFEDB_RFEDB_UPD_RFEIA_RFEIA_UPD_RFEIB_RFEIB_UPD_t2RFEDB_t2RFEDBW_t2RFEIA_t2RFEIAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #726
{DBGFIELD("t2STREX_t2STREXB_t2STREXD_t2STREXH") 1, false, false, 18, 1, 0, 1, 0, 0}, // #727
{DBGFIELD("STL_STLB_STLEX_STLEXB_STLEXD_STLEXH_STLH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #728
{DBGFIELD("t2STL_t2STLB_t2STLEX_t2STLEXB_t2STLEXD_t2STLEXH_t2STLH") 1, false, false, 18, 1, 0, 1, 0, 0}, // #729
{DBGFIELD("VABDfd_VABDhd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #730
{DBGFIELD("VABDfq_VABDhq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #731
{DBGFIELD("VABSD") 1, false, false, 6, 2, 2, 1, 0, 0}, // #732
{DBGFIELD("VABSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #733
{DBGFIELD("VABSS") 1, false, false, 6, 2, 2, 1, 0, 0}, // #734
{DBGFIELD("VABShd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #735
{DBGFIELD("VABShq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #736
{DBGFIELD("VACGEfd_VACGEhd_VACGTfd_VACGThd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #737
{DBGFIELD("VACGEfq_VACGEhq_VACGTfq_VACGThq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #738
{DBGFIELD("VADDH_VSUBH") 1, false, false, 6, 2, 9, 1, 0, 0}, // #739
{DBGFIELD("VADDfd_VSUBfd") 1, false, false, 6, 2, 9, 1, 0, 0}, // #740
{DBGFIELD("VADDhd_VSUBhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #741
{DBGFIELD("VADDfq_VSUBfq") 1, false, false, 6, 2, 9, 1, 0, 0}, // #742
{DBGFIELD("VADDhq_VSUBhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #743
{DBGFIELD("VLDRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #744
{DBGFIELD("VLDR_FPCXTNS_off_VLDR_FPCXTNS_post_VLDR_FPCXTNS_pre_VLDR_FPCXTS_off_VLDR_FPCXTS_post_VLDR_FPCXTS_pre_VLDR_FPSCR_NZCVQC_off_VLDR_FPSCR_NZCVQC_post_VLDR_FPSCR_NZCVQC_pre_VLDR_FPSCR_off_VLDR_FPSCR_post_VLDR_FPSCR_pre_VLDR_P0_off_VLDR_P0_post_VLDR_P0_pre_VLDR_VPR_off_VLDR_VPR_post_VLDR_VPR_pre") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #745
{DBGFIELD("VSTRH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #746
{DBGFIELD("VSTR_FPCXTNS_off_VSTR_FPCXTNS_post_VSTR_FPCXTNS_pre_VSTR_FPCXTS_off_VSTR_FPCXTS_post_VSTR_FPCXTS_pre_VSTR_FPSCR_NZCVQC_off_VSTR_FPSCR_NZCVQC_post_VSTR_FPSCR_NZCVQC_pre_VSTR_FPSCR_off_VSTR_FPSCR_post_VSTR_FPSCR_pre_VSTR_P0_off_VSTR_P0_post_VSTR_P0_pre_VSTR_VPR_off_VSTR_VPR_post_VSTR_VPR_pre") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #747
{DBGFIELD("VABAsv2i32_VABAsv4i16_VABAsv8i8_VABAuv2i32_VABAuv4i16_VABAuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #748
{DBGFIELD("VABDsv2i32_VABDsv4i16_VABDsv8i8_VABDuv2i32_VABDuv4i16_VABDuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #749
{DBGFIELD("VABDsv16i8_VABDsv4i32_VABDsv8i16_VABDuv16i8_VABDuv4i32_VABDuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #750
{DBGFIELD("VABDLsv4i32_VABDLsv8i16_VABDLuv4i32_VABDLuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #751
{DBGFIELD("VADDv1i64_VADDv2i32_VADDv4i16_VADDv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #752
{DBGFIELD("VSUBv1i64_VSUBv2i32_VSUBv4i16_VSUBv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #753
{DBGFIELD("VADDv16i8_VADDv2i64_VADDv4i32_VADDv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #754
{DBGFIELD("VADDLsv2i64_VADDLsv4i32_VADDLsv8i16_VADDLuv2i64_VADDLuv4i32_VADDLuv8i16_VSUBLsv2i64_VSUBLsv4i32_VSUBLsv8i16_VSUBLuv2i64_VSUBLuv4i32_VSUBLuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #755
{DBGFIELD("VANDd_VBICd_VEORd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #756
{DBGFIELD("VANDq_VBICq_VEORq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #757
{DBGFIELD("VBICiv2i32_VBICiv4i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #758
{DBGFIELD("VBICiv4i32_VBICiv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #759
{DBGFIELD("VBIFd_VBITd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #760
{DBGFIELD("VBSLd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #761
{DBGFIELD("VBIFq_VBITq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #762
{DBGFIELD("VBSLq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #763
{DBGFIELD("VCEQv16i8_VCEQv4i32_VCEQv8i16_VCGEsv16i8_VCGEsv4i32_VCGEsv8i16_VCGEuv16i8_VCGEuv4i32_VCGEuv8i16_VCGTsv16i8_VCGTsv4i32_VCGTsv8i16_VCGTuv16i8_VCGTuv4i32_VCGTuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #764
{DBGFIELD("VCEQv2i32_VCEQv4i16_VCEQv8i8_VCGEsv2i32_VCGEsv4i16_VCGEsv8i8_VCGEuv2i32_VCGEuv4i16_VCGEuv8i8_VCGTsv2i32_VCGTsv4i16_VCGTsv8i8_VCGTuv2i32_VCGTuv4i16_VCGTuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #765
{DBGFIELD("VCLZv16i8_VCLZv4i32_VCLZv8i16_VCNTq") 1, false, false, 6, 2, 2, 1, 0, 0}, // #766
{DBGFIELD("VCLZv2i32_VCLZv4i16_VCLZv8i8_VCNTd") 1, false, false, 6, 2, 2, 1, 0, 0}, // #767
{DBGFIELD("VCMPEH_VCMPEZH_VCMPH_VCMPZH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("VDUP16d_VDUP32d_VDUP8d") 2, false, false, 170, 3, 26, 1, 0, 0}, // #769
{DBGFIELD("VSELEQD_VSELEQH_VSELEQS_VSELGED_VSELGEH_VSELGES_VSELGTD_VSELGTH_VSELGTS_VSELVSD_VSELVSH_VSELVSS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("VFMAhd_VFMShd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("VFMAhq_VFMShq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("VHADDsv2i32_VHADDsv4i16_VHADDsv8i8_VHADDuv2i32_VHADDuv4i16_VHADDuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #773
{DBGFIELD("VHADDsv16i8_VHADDsv4i32_VHADDsv8i16_VHADDuv16i8_VHADDuv4i32_VHADDuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #774
{DBGFIELD("VMAXsv16i8_VMAXsv4i32_VMAXsv8i16_VMAXuv16i8_VMAXuv4i32_VMAXuv8i16_VMINsv16i8_VMINsv4i32_VMINsv8i16_VMINuv16i8_VMINuv4i32_VMINuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #775
{DBGFIELD("VPMAXf_VPMAXh_VPMINf_VPMINh") 1, false, false, 6, 2, 9, 1, 0, 0}, // #776
{DBGFIELD("VNEGH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #777
{DBGFIELD("VNEGhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #778
{DBGFIELD("VNEGhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #779
{DBGFIELD("VNEGs16d_VNEGs32d_VNEGs8d") 1, false, false, 6, 2, 2, 1, 0, 0}, // #780
{DBGFIELD("VNEGs16q_VNEGs32q_VNEGs8q") 1, false, false, 6, 2, 2, 1, 0, 0}, // #781
{DBGFIELD("VPADDi16_VPADDi32_VPADDi8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #782
{DBGFIELD("VPADALsv2i32_VPADALsv4i16_VPADALsv8i8_VPADALuv2i32_VPADALuv4i16_VPADALuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #783
{DBGFIELD("VPADDLsv16i8_VPADDLsv2i32_VPADDLsv4i16_VPADDLsv4i32_VPADDLsv8i16_VPADDLsv8i8_VPADDLuv16i8_VPADDLuv2i32_VPADDLuv4i16_VPADDLuv4i32_VPADDLuv8i16_VPADDLuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #784
{DBGFIELD("VQABSv2i32_VQABSv4i16_VQABSv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #785
{DBGFIELD("VQABSv16i8_VQABSv4i32_VQABSv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #786
{DBGFIELD("VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64") 1, false, false, 43, 2, 9, 1, 0, 0}, // #787
{DBGFIELD("VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #788
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #789
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #790
{DBGFIELD("VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #791
{DBGFIELD("VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #792
{DBGFIELD("VQSHRNsv2i32_VQSHRNsv4i16_VQSHRNsv8i8_VQSHRNuv2i32_VQSHRNuv4i16_VQSHRNuv8i8") 1, false, false, 186, 3, 26, 1, 0, 0}, // #793
{DBGFIELD("VRSHLsv16i8_VRSHLsv2i64_VRSHLsv4i32_VRSHLsv8i16_VRSHLuv16i8_VRSHLuv2i64_VRSHLuv4i32_VRSHLuv8i16") 1, false, false, 6, 2, 9, 1, 0, 0}, // #794
{DBGFIELD("VRSHLsv1i64_VRSHLsv2i32_VRSHLsv4i16_VRSHLsv8i8_VRSHLuv1i64_VRSHLuv2i32_VRSHLuv4i16_VRSHLuv8i8_VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #795
{DBGFIELD("VRSHRNv2i32_VRSHRNv4i16_VRSHRNv8i8") 1, false, false, 186, 3, 26, 1, 0, 0}, // #796
{DBGFIELD("VST1d16T_VST1d32T_VST1d64T_VST1d8T") 3, false, false, 31, 1, 0, 1, 0, 0}, // #797
{DBGFIELD("VST1d16Q_VST1d32Q_VST1d64Q_VST1d8Q") 4, false, false, 84, 1, 0, 1, 0, 0}, // #798
{DBGFIELD("VST1d64QPseudo") 4, false, false, 84, 1, 0, 1, 0, 0}, // #799
{DBGFIELD("VST1LNd16_VST1LNd32_VST1LNd8") 2, false, false, 170, 3, 558, 2, 0, 0}, // #800
{DBGFIELD("VST1LNdAsm_16_VST1LNdAsm_32_VST1LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #801
{DBGFIELD("VST1LNd16_UPD_VST1LNd32_UPD_VST1LNd8_UPD") 3, false, false, 204, 3, 557, 3, 0, 0}, // #802
{DBGFIELD("VST1LNdWB_fixed_Asm_16_VST1LNdWB_fixed_Asm_32_VST1LNdWB_fixed_Asm_8_VST1LNdWB_register_Asm_16_VST1LNdWB_register_Asm_32_VST1LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #803
{DBGFIELD("VST2q16_VST2q32_VST2q8") 4, false, false, 201, 3, 558, 2, 0, 0}, // #804
{DBGFIELD("VST2LNd16_VST2LNd32_VST2LNd8") 3, false, false, 243, 3, 558, 2, 0, 0}, // #805
{DBGFIELD("VST2LNdAsm_16_VST2LNdAsm_32_VST2LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #806
{DBGFIELD("VST2LNd16Pseudo_VST2LNd32Pseudo_VST2LNd8Pseudo") 3, false, false, 243, 3, 558, 2, 0, 0}, // #807
{DBGFIELD("VST2LNq16_VST2LNq32") 3, false, false, 243, 3, 558, 2, 0, 0}, // #808
{DBGFIELD("VST2LNqAsm_16_VST2LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #809
{DBGFIELD("VST2LNd16_UPD_VST2LNd32_UPD_VST2LNd8_UPD") 4, false, false, 246, 3, 557, 3, 0, 0}, // #810
{DBGFIELD("VST2LNdWB_fixed_Asm_16_VST2LNdWB_fixed_Asm_32_VST2LNdWB_fixed_Asm_8_VST2LNdWB_register_Asm_16_VST2LNdWB_register_Asm_32_VST2LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #811
{DBGFIELD("VST2LNd16Pseudo_UPD_VST2LNd32Pseudo_UPD_VST2LNd8Pseudo_UPD") 4, false, false, 246, 3, 557, 3, 0, 0}, // #812
{DBGFIELD("VST2LNqWB_fixed_Asm_16_VST2LNqWB_fixed_Asm_32_VST2LNqWB_register_Asm_16_VST2LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #813
{DBGFIELD("VST3d16_VST3d32_VST3d8_VST3q16_VST3q32_VST3q8") 6, false, false, 275, 3, 558, 2, 0, 0}, // #814
{DBGFIELD("VST3dAsm_16_VST3dAsm_32_VST3dAsm_8_VST3qAsm_16_VST3qAsm_32_VST3qAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #815
{DBGFIELD("VST3d16Pseudo_VST3d32Pseudo_VST3d8Pseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #816
{DBGFIELD("VST3LNd16_VST3LNd32_VST3LNd8") 6, false, false, 275, 3, 558, 2, 0, 0}, // #817
{DBGFIELD("VST3LNdAsm_16_VST3LNdAsm_32_VST3LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #818
{DBGFIELD("VST3LNd16Pseudo_VST3LNd32Pseudo_VST3LNd8Pseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #819
{DBGFIELD("VST3LNqAsm_16_VST3LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #820
{DBGFIELD("VST3d16_UPD_VST3d32_UPD_VST3d8_UPD_VST3q16_UPD_VST3q32_UPD_VST3q8_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #821
{DBGFIELD("VST3dWB_fixed_Asm_16_VST3dWB_fixed_Asm_32_VST3dWB_fixed_Asm_8_VST3dWB_register_Asm_16_VST3dWB_register_Asm_32_VST3dWB_register_Asm_8_VST3qWB_fixed_Asm_16_VST3qWB_fixed_Asm_32_VST3qWB_fixed_Asm_8_VST3qWB_register_Asm_16_VST3qWB_register_Asm_32_VST3qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #822
{DBGFIELD("VST3LNd16_UPD_VST3LNd32_UPD_VST3LNd8_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #823
{DBGFIELD("VST3LNdWB_fixed_Asm_16_VST3LNdWB_fixed_Asm_32_VST3LNdWB_fixed_Asm_8_VST3LNdWB_register_Asm_16_VST3LNdWB_register_Asm_32_VST3LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #824
{DBGFIELD("VST3LNd16Pseudo_UPD_VST3LNd32Pseudo_UPD_VST3LNd8Pseudo_UPD") 7, false, false, 278, 3, 557, 3, 0, 0}, // #825
{DBGFIELD("VST3LNqWB_fixed_Asm_16_VST3LNqWB_fixed_Asm_32_VST3LNqWB_register_Asm_16_VST3LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #826
{DBGFIELD("VST4d16_VST4d32_VST4d8_VST4q16_VST4q32_VST4q8") 6, false, false, 275, 3, 558, 2, 0, 0}, // #827
{DBGFIELD("VST4dAsm_16_VST4dAsm_32_VST4dAsm_8_VST4qAsm_16_VST4qAsm_32_VST4qAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #828
{DBGFIELD("VST4d16Pseudo_VST4d32Pseudo_VST4d8Pseudo") 6, false, false, 275, 3, 558, 2, 0, 0}, // #829
{DBGFIELD("VST4LNd16_VST4LNd32_VST4LNd8") 4, false, false, 201, 3, 558, 2, 0, 0}, // #830
{DBGFIELD("VST4LNdAsm_16_VST4LNdAsm_32_VST4LNdAsm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #831
{DBGFIELD("VST4LNd16Pseudo_VST4LNd32Pseudo_VST4LNd8Pseudo") 4, false, false, 201, 3, 558, 2, 0, 0}, // #832
{DBGFIELD("VST4LNq16_VST4LNq32") 4, false, false, 201, 3, 558, 2, 0, 0}, // #833
{DBGFIELD("VST4LNqAsm_16_VST4LNqAsm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("VST4d16_UPD_VST4d32_UPD_VST4d8_UPD_VST4q16_UPD_VST4q32_UPD_VST4q8_UPD") 9, false, false, 281, 3, 557, 3, 0, 0}, // #835
{DBGFIELD("VST4dWB_fixed_Asm_16_VST4dWB_fixed_Asm_32_VST4dWB_fixed_Asm_8_VST4dWB_register_Asm_16_VST4dWB_register_Asm_32_VST4dWB_register_Asm_8_VST4qWB_fixed_Asm_16_VST4qWB_fixed_Asm_32_VST4qWB_fixed_Asm_8_VST4qWB_register_Asm_16_VST4qWB_register_Asm_32_VST4qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #836
{DBGFIELD("VST4LNd16_UPD_VST4LNd32_UPD_VST4LNd8_UPD") 5, false, false, 250, 3, 557, 3, 0, 0}, // #837
{DBGFIELD("VST4LNdWB_fixed_Asm_16_VST4LNdWB_fixed_Asm_32_VST4LNdWB_fixed_Asm_8_VST4LNdWB_register_Asm_16_VST4LNdWB_register_Asm_32_VST4LNdWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #838
{DBGFIELD("VST4LNd16Pseudo_UPD_VST4LNd32Pseudo_UPD_VST4LNd8Pseudo_UPD") 5, false, false, 250, 3, 557, 3, 0, 0}, // #839
{DBGFIELD("VST4LNqWB_fixed_Asm_16_VST4LNqWB_fixed_Asm_32_VST4LNqWB_register_Asm_16_VST4LNqWB_register_Asm_32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #840
{DBGFIELD("BKPT_tBKPT_CDP_CDP2_t2CDP_t2CDP2_CLREX_t2CLREX_CONSTPOOL_ENTRY_COPY_STRUCT_BYVAL_I32_CPS1p_CPS2p_CPS3p_t2CPS1p_t2CPS2p_t2CPS3p_DBG_t2DBG_DMB_t2DMB_DSB_t2DSB_ERET_HINT_t2HINT_tHINT_HLT_tHLT_HVC_ISB_t2ISB_SETEND_tSETEND_SETPAN_t2SETPAN_SMC_t2SMC_SPACE_SWP_SWPB_TRAP_TRAPNaCl_UDF_t2DCPS1_t2DCPS2_t2DCPS3_t2SG_t2TT_t2TTA_t2TTAT_t2TTT_tCPS_CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8_CompilerBarrier") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #841
{DBGFIELD("t2HVC_tTRAP_SVC_tSVC") 1, false, false, 43, 2, 0, 1, 0, 0}, // #842
{DBGFIELD("t2UDF_tUDF_t__brkdiv0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #843
{DBGFIELD("LDC2L_OFFSET_LDC2L_OPTION_LDC2L_POST_LDC2L_PRE_LDC2_OFFSET_LDC2_OPTION_LDC2_POST_LDC2_PRE_LDCL_OFFSET_LDCL_OPTION_LDCL_POST_LDCL_PRE_LDC_OFFSET_LDC_OPTION_LDC_POST_LDC_PRE_STC2L_OFFSET_STC2L_OPTION_STC2L_POST_STC2L_PRE_STC2_OFFSET_STC2_OPTION_STC2_POST_STC2_PRE_STCL_OFFSET_STCL_OPTION_STCL_POST_STCL_PRE_STC_OFFSET_STC_OPTION_STC_POST_STC_PRE_t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE_MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #844
{DBGFIELD("t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #845
{DBGFIELD("LDREX_LDREXB_LDREXD_LDREXH") 1, false, false, 18, 1, 3, 1, 0, 0}, // #846
{DBGFIELD("MCR_MCR2_MCRR_MCRR2_t2MCR_t2MCR2_t2MCRR_t2MCRR2_MRC_MRC2_t2MRC_t2MRC2_MRRC_MRRC2_t2MRRC_t2MRRC2_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR_t2MSR_AR_t2MSR_M_t2MSRbanked") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #847
{DBGFIELD("FLDMXDB_UPD_FLDMXIA_FLDMXIA_UPD_FSTMXDB_UPD_FSTMXIA_FSTMXIA_UPD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #848
{DBGFIELD("ADJCALLSTACKDOWN_tADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKUP_Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_t2SUBS_PC_LR_JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH_tInt_WIN_eh_sjlj_longjmp_VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8_WIN__CHKSTK_WIN__DBZCHK") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #849
{DBGFIELD("SUBS_PC_LR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #850
{DBGFIELD("B_t2B_tB_BX_CALL_tBX_CALL_tBX_RET_tBX_RET_vararg_BX_BX_RET_BX_pred_tBX_tBXNS_Bcc_t2Bcc_tBcc_TAILJMPd_TAILJMPr_TAILJMPr4_tTAILJMPd_tTAILJMPdND_tTAILJMPr_TCRETURNdi_TCRETURNri_tCBNZ_tCBZ") 1, false, false, 43, 2, 0, 1, 0, 0}, // #851
{DBGFIELD("BXJ") 1, false, false, 43, 2, 0, 1, 0, 0}, // #852
{DBGFIELD("tBfar") 1, false, false, 170, 3, 0, 1, 0, 0}, // #853
{DBGFIELD("BL_tBL_BL_pred_tBLXi") 1, false, false, 43, 2, 2, 1, 0, 0}, // #854
{DBGFIELD("BLXi") 1, false, false, 43, 2, 2, 1, 0, 0}, // #855
{DBGFIELD("TPsoft_tTPsoft") 1, false, false, 43, 2, 0, 1, 0, 0}, // #856
{DBGFIELD("BLX_BLX_pred_tBLXNSr_tBLXr") 1, false, false, 43, 2, 2, 1, 0, 0}, // #857
{DBGFIELD("BCCi64_BCCZi64") 1, false, false, 43, 2, 0, 1, 0, 0}, // #858
{DBGFIELD("BR_JTadd_tBR_JTr_t2TBB_t2TBH") 1, false, false, 170, 3, 0, 1, 0, 0}, // #859
{DBGFIELD("BR_JTr_t2BR_JT_t2TBB_JT_t2TBH_JT_tBRIND") 1, false, false, 43, 2, 0, 1, 0, 0}, // #860
{DBGFIELD("t2BXJ") 1, false, false, 43, 2, 0, 1, 0, 0}, // #861
{DBGFIELD("BR_JTm_i12_BR_JTm_rs") 1, false, false, 170, 3, 0, 1, 0, 0}, // #862
{DBGFIELD("tADDframe") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #863
{DBGFIELD("MOVi16_ga_pcrel_MOVi_MOVi16_MOVCCi16_tMOVi8") 1, false, false, 4, 1, 1, 1, 0, 0}, // #864
{DBGFIELD("MOVr_MOVr_TC_tMOVSr_tMOVr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #865
{DBGFIELD("MVNCCi_MOVCCi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #866
{DBGFIELD("BMOVPCB_CALL_BMOVPCRX_CALL") 1, false, false, 43, 2, 0, 1, 0, 0}, // #867
{DBGFIELD("MOVCCr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #868
{DBGFIELD("tMOVCCr_pseudo") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #869
{DBGFIELD("tMVN") 1, false, false, 4, 1, 1, 1, 0, 0}, // #870
{DBGFIELD("MOVCCsi") 1, false, false, 4, 1, 1, 1, 0, 0}, // #871
{DBGFIELD("t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX") 1, false, false, 4, 1, 1, 1, 0, 0}, // #872
{DBGFIELD("LSRi_LSLi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror") 1, false, false, 4, 1, 1, 1, 0, 0}, // #874
{DBGFIELD("t2MOVCCr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #875
{DBGFIELD("t2MOVTi16_ga_pcrel_t2MOVTi16") 1, false, false, 4, 1, 1, 1, 0, 0}, // #876
{DBGFIELD("t2MOVr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #877
{DBGFIELD("tROR") 1, false, false, 4, 1, 1, 1, 0, 0}, // #878
{DBGFIELD("t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #879
{DBGFIELD("MOVPCRX_MOVPCLR") 1, false, false, 43, 2, 0, 1, 0, 0}, // #880
{DBGFIELD("tMUL") 1, false, false, 6, 2, 9, 1, 64, 2}, // #881
{DBGFIELD("SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #882
{DBGFIELD("t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #883
{DBGFIELD("SHADD16_SHADD8_SHSUB16_SHSUB8_UHADD16_UHADD8_UHSUB16_UHSUB8") 1, false, false, 4, 1, 2, 1, 0, 0}, // #884
{DBGFIELD("t2SHADD16_t2SHADD8_t2SHSUB16_t2SHSUB8_t2UHADD16_t2UHADD8_t2UHSUB16_t2UHSUB8") 1, false, false, 4, 1, 2, 1, 0, 0}, // #885
{DBGFIELD("QADD16_QADD8_QSUB16_QSUB8_UQADD16_UQADD8_UQSUB16_UQSUB8") 1, false, false, 4, 1, 2, 1, 0, 0}, // #886
{DBGFIELD("t2QADD_t2QADD16_t2QADD8_t2UQADD16_t2UQADD8_t2QSUB_t2QSUB16_t2QSUB8_t2UQSUB16_t2UQSUB8") 1, false, false, 4, 1, 2, 1, 0, 0}, // #887
{DBGFIELD("QASX_QSAX_UQASX_UQSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #888
{DBGFIELD("t2QASX_t2QSAX_t2UQASX_t2UQSAX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #889
{DBGFIELD("SSAT_SSAT16_USAT_USAT16") 1, false, false, 4, 1, 2, 1, 0, 0}, // #890
{DBGFIELD("QADD_QSUB") 1, false, false, 4, 1, 2, 1, 0, 0}, // #891
{DBGFIELD("SBFX_UBFX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #892
{DBGFIELD("t2SBFX_t2UBFX") 1, false, false, 4, 1, 2, 1, 0, 0}, // #893
{DBGFIELD("SXTB_SXTH_UXTB_UXTH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #894
{DBGFIELD("t2SXTB_t2SXTH_t2UXTB_t2UXTH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #895
{DBGFIELD("tSXTB_tSXTH_tUXTB_tUXTH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #896
{DBGFIELD("SXTAB_SXTAH_UXTAB_UXTAH") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #897
{DBGFIELD("t2SXTAB_t2SXTAH_t2UXTAB_t2UXTAH") 1, false, false, 4, 1, 2, 1, 0, 0}, // #898
{DBGFIELD("LDRConstPool_t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #899
{DBGFIELD("PICLDRB_PICLDRH") 1, false, false, 18, 1, 3, 1, 0, 0}, // #900
{DBGFIELD("PICLDRSB_PICLDRSH") 2, false, false, 40, 2, 9, 1, 0, 0}, // #901
{DBGFIELD("tLDR_postidx") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #902
{DBGFIELD("tLDRBi_tLDRHi") 1, false, false, 18, 1, 3, 1, 0, 0}, // #903
{DBGFIELD("tLDRi_tLDRpci_tLDRspi") 1, false, false, 18, 1, 3, 1, 0, 0}, // #904
{DBGFIELD("t2LDRBpcrel_t2LDRHpcrel_t2LDRpcrel") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #905
{DBGFIELD("LDR_PRE_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #906
{DBGFIELD("LDRB_PRE_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #907
{DBGFIELD("t2LDRB_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #908
{DBGFIELD("LDR_PRE_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #909
{DBGFIELD("LDRB_PRE_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #910
{DBGFIELD("LDRH_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #911
{DBGFIELD("LDRSB_PRE_LDRSH_PRE") 3, false, false, 184, 2, 69, 2, 0, 0}, // #912
{DBGFIELD("t2LDRH_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #913
{DBGFIELD("t2LDRSB_PRE_t2LDRSH_PRE") 3, false, false, 184, 2, 69, 2, 0, 0}, // #914
{DBGFIELD("t2LDR_PRE") 2, false, false, 40, 2, 24, 2, 0, 0}, // #915
{DBGFIELD("LDRD_PRE") 3, false, false, 28, 2, 1162, 3, 0, 0}, // #916
{DBGFIELD("t2LDRD_PRE") 3, false, false, 28, 2, 1162, 3, 0, 0}, // #917
{DBGFIELD("LDRT_POST_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #918
{DBGFIELD("LDRBT_POST_IMM") 2, false, false, 40, 2, 24, 2, 0, 0}, // #919
{DBGFIELD("LDRHTi") 2, false, false, 40, 2, 24, 2, 0, 0}, // #920
{DBGFIELD("LDRSBTi_LDRSHTi") 3, false, false, 184, 2, 69, 2, 0, 0}, // #921
{DBGFIELD("t2LDRB_POST") 2, false, false, 40, 2, 24, 2, 0, 0}, // #922
{DBGFIELD("LDRH_POST") 2, false, false, 40, 2, 24, 2, 0, 0}, // #923
{DBGFIELD("LDRSB_POST_LDRSH_POST") 3, false, false, 184, 2, 69, 2, 0, 0}, // #924
{DBGFIELD("LDR_POST_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #925
{DBGFIELD("LDRB_POST_REG") 2, false, false, 40, 2, 24, 2, 0, 0}, // #926
{DBGFIELD("LDRT_POST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #927
{DBGFIELD("PLDi12_t2PLDi12_PLDWi12_t2PLDWi12_t2PLDWi8_t2PLDWs_t2PLDi8_t2PLDpci_t2PLDs_PLIi12_PLIrs_t2PLIi12_t2PLIi8_t2PLIpci_t2PLIs") 1, false, false, 175, 1, 0, 1, 0, 0}, // #928
{DBGFIELD("PLDrs_PLDWrs") 1, false, false, 175, 1, 0, 1, 0, 0}, // #929
{DBGFIELD("VLLDM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #930
{DBGFIELD("STRBi12_PICSTRB_PICSTRH") 1, false, false, 18, 1, 0, 1, 0, 0}, // #931
{DBGFIELD("t2STRBT") 2, false, false, 40, 2, 257, 2, 0, 0}, // #932
{DBGFIELD("STR_PRE_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #933
{DBGFIELD("STRB_PRE_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #934
{DBGFIELD("STRBi_preidx_STRBr_preidx_STRi_preidx_STRr_preidx_STRH_preidx") 2, false, false, 40, 2, 257, 2, 0, 0}, // #935
{DBGFIELD("STRH_PRE") 2, false, false, 40, 2, 257, 2, 0, 0}, // #936
{DBGFIELD("t2STRH_PRE_t2STR_PRE") 2, false, false, 40, 2, 257, 2, 0, 0}, // #937
{DBGFIELD("t2STRB_PRE") 2, false, false, 40, 2, 257, 2, 0, 0}, // #938
{DBGFIELD("t2STRD_PRE") 4, false, false, 34, 2, 1164, 4, 0, 0}, // #939
{DBGFIELD("STR_PRE_REG") 2, false, false, 40, 2, 257, 2, 0, 0}, // #940
{DBGFIELD("STRB_PRE_REG") 2, false, false, 40, 2, 257, 2, 0, 0}, // #941
{DBGFIELD("STRD_PRE") 4, false, false, 34, 2, 1164, 4, 0, 0}, // #942
{DBGFIELD("STRT_POST_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #943
{DBGFIELD("STRBT_POST_IMM") 2, false, false, 40, 2, 257, 2, 0, 0}, // #944
{DBGFIELD("t2STRB_POST") 2, false, false, 40, 2, 257, 2, 0, 0}, // #945
{DBGFIELD("STRBT_POST_REG_STRB_POST_REG") 2, false, false, 40, 2, 257, 2, 0, 0}, // #946
{DBGFIELD("VLSTM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("VCVTASD_VCVTASH_VCVTASS_VCVTAUD_VCVTAUH_VCVTAUS_VCVTMSD_VCVTMSH_VCVTMSS_VCVTMUD_VCVTMUH_VCVTMUS_VCVTNSD_VCVTNSH_VCVTNSS_VCVTNUD_VCVTNUH_VCVTNUS_VCVTPSD_VCVTPSH_VCVTPSS_VCVTPUD_VCVTPUH_VCVTPUS_VCVTBDH_VCVTTDH_VCVTTHD") 1, false, false, 43, 2, 9, 1, 0, 0}, // #948
{DBGFIELD("VTOSLS_VTOUHS_VTOULS") 1, false, false, 43, 2, 9, 1, 0, 0}, // #949
{DBGFIELD("VJCVT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("VRINTAD_VRINTAH_VRINTAS_VRINTMD_VRINTMH_VRINTMS_VRINTND_VRINTNH_VRINTNS_VRINTPD_VRINTPH_VRINTPS_VRINTRD_VRINTRH_VRINTRS_VRINTXD_VRINTXH_VRINTXS_VRINTZD_VRINTZH_VRINTZS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("VSQRTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("VMAXsv2i32_VMAXsv4i16_VMAXsv8i8_VMAXuv2i32_VMAXuv4i16_VMAXuv8i8_VMINsv2i32_VMINsv4i16_VMINsv8i8_VMINuv2i32_VMINuv4i16_VMINuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #953
{DBGFIELD("VUDOTD_VUDOTDI_VSDOTD_VSDOTDI_VUDOTQ_VUDOTQI_VSDOTQ_VSDOTQI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #954
{DBGFIELD("FCONSTD") 1, false, false, 6, 2, 2, 1, 0, 0}, // #955
{DBGFIELD("FCONSTH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("FCONSTS") 1, false, false, 6, 2, 2, 1, 0, 0}, // #957
{DBGFIELD("VMOVHcc_VMOVH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #958
{DBGFIELD("VINSH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("VSTMSIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #960
{DBGFIELD("VSTMSDB_UPD_VSTMSIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #961
{DBGFIELD("VRHADDsv16i8_VRHADDsv4i32_VRHADDsv8i16_VRHADDuv16i8_VRHADDuv4i32_VRHADDuv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #962
{DBGFIELD("VRHADDsv2i32_VRHADDsv4i16_VRHADDsv8i8_VRHADDuv2i32_VRHADDuv4i16_VRHADDuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #963
{DBGFIELD("VMVNv2i32_VMVNv4i16_VMVNv4i32_VMVNv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #964
{DBGFIELD("VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #965
{DBGFIELD("VMULv2i32_VMULslv2i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #966
{DBGFIELD("VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #967
{DBGFIELD("VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #968
{DBGFIELD("VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #969
{DBGFIELD("VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32") 1, false, false, 43, 2, 9, 1, 0, 0}, // #970
{DBGFIELD("VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8") 1, false, false, 43, 2, 9, 1, 0, 0}, // #971
{DBGFIELD("VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16") 1, false, false, 43, 2, 9, 1, 0, 0}, // #976
{DBGFIELD("VSHLiv16i8_VSHLiv1i64_VSHLiv2i32_VSHLiv2i64_VSHLiv4i16_VSHLiv4i32_VSHLiv8i16_VSHLiv8i8_VSHLLi16_VSHLLi32_VSHLLi8_VSHLLsv2i64_VSHLLsv4i32_VSHLLsv8i16_VSHLLuv2i64_VSHLLuv4i32_VSHLLuv8i16_VSHRsv16i8_VSHRsv1i64_VSHRsv2i32_VSHRsv2i64_VSHRsv4i16_VSHRsv4i32_VSHRsv8i16_VSHRsv8i8_VSHRuv16i8_VSHRuv1i64_VSHRuv2i32_VSHRuv2i64_VSHRuv4i16_VSHRuv4i32_VSHRuv8i16_VSHRuv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #977
{DBGFIELD("VQSHLsiv16i8_VQSHLsiv1i64_VQSHLsiv2i32_VQSHLsiv2i64_VQSHLsiv4i16_VQSHLsiv4i32_VQSHLsiv8i16_VQSHLsiv8i8_VQSHLsuv16i8_VQSHLsuv1i64_VQSHLsuv2i32_VQSHLsuv2i64_VQSHLsuv4i16_VQSHLsuv4i32_VQSHLsuv8i16_VQSHLsuv8i8_VQSHLuiv16i8_VQSHLuiv1i64_VQSHLuiv2i32_VQSHLuiv2i64_VQSHLuiv4i16_VQSHLuiv4i32_VQSHLuiv8i16_VQSHLuiv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #978
{DBGFIELD("VRSHRsv16i8_VRSHRsv1i64_VRSHRsv2i32_VRSHRsv2i64_VRSHRsv4i16_VRSHRsv4i32_VRSHRsv8i16_VRSHRsv8i8_VRSHRuv16i8_VRSHRuv1i64_VRSHRuv2i32_VRSHRuv2i64_VRSHRuv4i16_VRSHRuv4i32_VRSHRuv8i16_VRSHRuv8i8") 1, false, false, 6, 2, 9, 1, 0, 0}, // #979
{DBGFIELD("VSLIv1i64_VSLIv2i32_VSLIv4i16_VSLIv8i8_VSRIv1i64_VSRIv2i32_VSRIv4i16_VSRIv8i8") 1, false, false, 6, 2, 2, 1, 0, 0}, // #980
{DBGFIELD("VSLIv16i8_VSLIv2i64_VSLIv4i32_VSLIv8i16_VSRIv16i8_VSRIv2i64_VSRIv4i32_VSRIv8i16") 1, false, false, 6, 2, 2, 1, 0, 0}, // #981
{DBGFIELD("VPADDh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("VCADDv2f32_VCADDv4f16_VCMLAv2f32_VCMLAv2f32_indexed_VCMLAv4f16_VCMLAv4f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("VCADDv4f32_VCADDv8f16_VCMLAv4f32_VCMLAv4f32_indexed_VCMLAv8f16_VCMLAv8f16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("VCVTf2sd_VCVTf2ud_VCVTf2xsd_VCVTf2xud_VCVTs2fd_VCVTu2fd_VCVTxs2fd_VCVTxu2fd") 1, false, false, 43, 2, 9, 1, 0, 0}, // #985
{DBGFIELD("VCVTf2sq_VCVTf2uq_VCVTs2fq_VCVTu2fq_VCVTf2xsq_VCVTf2xuq_VCVTxs2fq_VCVTxu2fq") 1, false, false, 43, 2, 9, 1, 0, 0}, // #986
{DBGFIELD("NEON_VMAXNMNDf_NEON_VMAXNMNDh_NEON_VMAXNMNQf_NEON_VMAXNMNQh_VFP_VMAXNMD_VFP_VMAXNMH_VFP_VMAXNMS_NEON_VMINNMNDf_NEON_VMINNMNDh_NEON_VMINNMNQf_NEON_VMINNMNQh_VFP_VMINNMD_VFP_VMINNMH_VFP_VMINNMS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("VMULhd") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("VMULhq") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("VRINTANDf_VRINTANDh_VRINTANQf_VRINTANQh_VRINTMNDf_VRINTMNDh_VRINTMNQf_VRINTMNQh_VRINTNNDf_VRINTNNDh_VRINTNNQf_VRINTNNQh_VRINTPNDf_VRINTPNDh_VRINTPNQf_VRINTPNQh_VRINTXNDf_VRINTXNDh_VRINTXNQf_VRINTXNQh_VRINTZNDf_VRINTZNDh_VRINTZNQf_VRINTZNQh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("VMOVD0_VMOVQ0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("VTRNd16_VTRNd32_VTRNd8") 3, false, false, 194, 2, 49, 3, 71, 1}, // #992
{DBGFIELD("VLD2d16_VLD2d32_VLD2d8") 5, false, false, 213, 4, 1171, 3, 0, 0}, // #993
{DBGFIELD("VLD2d16wb_fixed_VLD2d16wb_register_VLD2d32wb_fixed_VLD2d32wb_register_VLD2d8wb_fixed_VLD2d8wb_register") 6, false, false, 217, 4, 1174, 4, 0, 0}, // #994
{DBGFIELD("VLD3LNd32_VLD3LNq32_VLD3LNd32Pseudo_VLD3LNq32Pseudo") 7, false, false, 257, 4, 1221, 5, 0, 0}, // #995
{DBGFIELD("VLD3LNd32_UPD_VLD3LNq32_UPD") 8, false, false, 261, 4, 1226, 6, 0, 0}, // #996
{DBGFIELD("VLD3LNd32Pseudo_UPD_VLD3LNq32Pseudo_UPD") 8, false, false, 261, 4, 1232, 6, 0, 0}, // #997
{DBGFIELD("VLD4LNd32_VLD4LNq32_VLD4LNd32Pseudo_VLD4LNq32Pseudo") 8, false, false, 265, 4, 1238, 6, 0, 0}, // #998
{DBGFIELD("VLD4LNd32_UPD_VLD4LNq32_UPD") 9, false, false, 269, 4, 1244, 7, 0, 0}, // #999
{DBGFIELD("VLD4LNd32Pseudo_UPD_VLD4LNq32Pseudo_UPD") 9, false, false, 269, 4, 1251, 7, 0, 0}, // #1000
{DBGFIELD("AESD_AESE_AESIMC_AESMC") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("SHA1SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("SHA1H_SHA1SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("SHA1C_SHA1M_SHA1P") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("SHA256SU0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("SHA256H_SHA256H2_SHA256SU1") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("t2LDMIA_RET") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("t2LDMDB_t2LDMIA_tLDMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("t2LDRConstPool_tLDRConstPool") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("tLDRLIT_ga_abs") 2, false, false, 40, 2, 24, 2, 0, 0}, // #1011
{DBGFIELD("tLDRLIT_ga_pcrel") 2, false, false, 40, 2, 24, 2, 0, 0}, // #1012
{DBGFIELD("t2LDREX_t2LDREXB_t2LDREXD_t2LDREXH") 1, false, false, 18, 1, 3, 1, 0, 0}, // #1013
{DBGFIELD("t2STMDB_t2STMIA") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1014
{DBGFIELD("t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("tMOVSr_tMOVr") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1016
{DBGFIELD("tMOVi8") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1017
{DBGFIELD("t2MSR_AR_t2MSR_M_t2MSRbanked_t2MRS_AR_t2MRS_M_t2MRSbanked_t2MRSsys_AR") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("t2CLREX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("t2REV_t2REV16_t2REVSH_tREV_tREV16_tREVSH") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1021
{DBGFIELD("t2CDP_t2CDP2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("t2MCR_t2MCR2_t2MCRR_t2MCRR2_t2MRC_t2MRC2_t2MRRC_t2MRRC2") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("t2STC2L_OFFSET_t2STC2L_OPTION_t2STC2L_POST_t2STC2L_PRE_t2STC2_OFFSET_t2STC2_OPTION_t2STC2_POST_t2STC2_PRE_t2STCL_OFFSET_t2STCL_OPTION_t2STCL_POST_t2STCL_PRE_t2STC_OFFSET_t2STC_OPTION_t2STC_POST_t2STC_PRE") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("tCPS_t2ISB_t2DSB_t2DMB_t2HINT_tHINT") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("t2UDF_tUDF") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("tBKPT_t2DBG") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("Int_eh_sjlj_dispatchsetup_Int_eh_sjlj_longjmp_Int_eh_sjlj_setjmp_Int_eh_sjlj_setjmp_nofp_Int_eh_sjlj_setup_dispatch_t2Int_eh_sjlj_setjmp_t2Int_eh_sjlj_setjmp_nofp_tInt_eh_sjlj_longjmp_tInt_eh_sjlj_setjmp_ADJCALLSTACKDOWN_ADJCALLSTACKUP_tADJCALLSTACKDOWN_tADJCALLSTACKUP") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("CMP_SWAP_16_CMP_SWAP_32_CMP_SWAP_64_CMP_SWAP_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("JUMPTABLE_ADDRS_JUMPTABLE_INSTS_JUMPTABLE_TBB_JUMPTABLE_TBH") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("MEMCPY") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("VSETLNi32") 2, false, false, 198, 3, 26, 1, 0, 0}, // #1032
{DBGFIELD("VGETLNi32") 2, false, false, 170, 3, 16, 1, 0, 0}, // #1033
{DBGFIELD("VLD1LNdAsm_16_VLD1LNdAsm_32_VLD1LNdAsm_8_VLD1LNdWB_fixed_Asm_16_VLD1LNdWB_fixed_Asm_32_VLD1LNdWB_fixed_Asm_8_VLD1LNdWB_register_Asm_16_VLD1LNdWB_register_Asm_32_VLD1LNdWB_register_Asm_8_VLD2LNdAsm_16_VLD2LNdAsm_32_VLD2LNdAsm_8_VLD2LNdWB_fixed_Asm_16_VLD2LNdWB_fixed_Asm_32_VLD2LNdWB_fixed_Asm_8_VLD2LNdWB_register_Asm_16_VLD2LNdWB_register_Asm_32_VLD2LNdWB_register_Asm_8_VLD2LNqAsm_16_VLD2LNqAsm_32_VLD2LNqWB_fixed_Asm_16_VLD2LNqWB_fixed_Asm_32_VLD2LNqWB_register_Asm_16_VLD2LNqWB_register_Asm_32_VLD3DUPdAsm_16_VLD3DUPdAsm_32_VLD3DUPdAsm_8_VLD3DUPdWB_fixed_Asm_16_VLD3DUPdWB_fixed_Asm_32_VLD3DUPdWB_fixed_Asm_8_VLD3DUPdWB_register_Asm_16_VLD3DUPdWB_register_Asm_32_VLD3DUPdWB_register_Asm_8_VLD3DUPqAsm_16_VLD3DUPqAsm_32_VLD3DUPqAsm_8_VLD3DUPqWB_fixed_Asm_16_VLD3DUPqWB_fixed_Asm_32_VLD3DUPqWB_fixed_Asm_8_VLD3DUPqWB_register_Asm_16_VLD3DUPqWB_register_Asm_32_VLD3DUPqWB_register_Asm_8_VLD3LNdAsm_16_VLD3LNdAsm_32_VLD3LNdAsm_8_VLD3LNdWB_fixed_Asm_16_VLD3LNdWB_fixed_Asm_32_VLD3LNdWB_fixed_Asm_8_VLD3LNdWB_register_Asm_16_VLD3LNdWB_register_Asm_32_VLD3LNdWB_register_Asm_8_VLD3LNqAsm_16_VLD3LNqAsm_32_VLD3LNqWB_fixed_Asm_16_VLD3LNqWB_fixed_Asm_32_VLD3LNqWB_register_Asm_16_VLD3LNqWB_register_Asm_32_VLD3dAsm_16_VLD3dAsm_32_VLD3dAsm_8_VLD3dWB_fixed_Asm_16_VLD3dWB_fixed_Asm_32_VLD3dWB_fixed_Asm_8_VLD3dWB_register_Asm_16_VLD3dWB_register_Asm_32_VLD3dWB_register_Asm_8_VLD3qAsm_16_VLD3qAsm_32_VLD3qAsm_8_VLD3qWB_fixed_Asm_16_VLD3qWB_fixed_Asm_32_VLD3qWB_fixed_Asm_8_VLD3qWB_register_Asm_16_VLD3qWB_register_Asm_32_VLD3qWB_register_Asm_8_VLD4DUPdAsm_16_VLD4DUPdAsm_32_VLD4DUPdAsm_8_VLD4DUPdWB_fixed_Asm_16_VLD4DUPdWB_fixed_Asm_32_VLD4DUPdWB_fixed_Asm_8_VLD4DUPdWB_register_Asm_16_VLD4DUPdWB_register_Asm_32_VLD4DUPdWB_register_Asm_8_VLD4DUPqAsm_16_VLD4DUPqAsm_32_VLD4DUPqAsm_8_VLD4DUPqWB_fixed_Asm_16_VLD4DUPqWB_fixed_Asm_32_VLD4DUPqWB_fixed_Asm_8_VLD4DUPqWB_register_Asm_16_VLD4DUPqWB_register_Asm_32_VLD4DUPqWB_register_Asm_8_VLD4LNdAsm_16_VLD4LNdAsm_32_VLD4LNdAsm_8_VLD4LNdWB_fixed_Asm_16_VLD4LNdWB_fixed_Asm_32_VLD4LNdWB_fixed_Asm_8_VLD4LNdWB_register_Asm_16_VLD4LNdWB_register_Asm_32_VLD4LNdWB_register_Asm_8_VLD4LNqAsm_16_VLD4LNqAsm_32_VLD4LNqWB_fixed_Asm_16_VLD4LNqWB_fixed_Asm_32_VLD4LNqWB_register_Asm_16_VLD4LNqWB_register_Asm_32_VLD4dAsm_16_VLD4dAsm_32_VLD4dAsm_8_VLD4dWB_fixed_Asm_16_VLD4dWB_fixed_Asm_32_VLD4dWB_fixed_Asm_8_VLD4dWB_register_Asm_16_VLD4dWB_register_Asm_32_VLD4dWB_register_Asm_8_VLD4qAsm_16_VLD4qAsm_32_VLD4qAsm_8_VLD4qWB_fixed_Asm_16_VLD4qWB_fixed_Asm_32_VLD4qWB_fixed_Asm_8_VLD4qWB_register_Asm_16_VLD4qWB_register_Asm_32_VLD4qWB_register_Asm_8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("VLD1d16QPseudo_VLD1d32QPseudo_VLD1d8QPseudo_VLD1q16HighQPseudo_VLD1q16LowQPseudo_UPD_VLD1q32HighQPseudo_VLD1q32LowQPseudo_UPD_VLD1q64HighQPseudo_VLD1q64LowQPseudo_UPD_VLD1q8HighQPseudo_VLD1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1035
{DBGFIELD("VLD1d16TPseudo_VLD1d32TPseudo_VLD1d8TPseudo_VLD1q16HighTPseudo_VLD1q16LowTPseudo_UPD_VLD1q32HighTPseudo_VLD1q32LowTPseudo_UPD_VLD1q64HighTPseudo_VLD1q64LowTPseudo_UPD_VLD1q8HighTPseudo_VLD1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1036
{DBGFIELD("VLD2DUPq16EvenPseudo_VLD2DUPq16OddPseudo_VLD2DUPq32EvenPseudo_VLD2DUPq32OddPseudo_VLD2DUPq8EvenPseudo_VLD2DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1037
{DBGFIELD("VLD3DUPq16EvenPseudo_VLD3DUPq16OddPseudo_VLD3DUPq32EvenPseudo_VLD3DUPq32OddPseudo_VLD3DUPq8EvenPseudo_VLD3DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1038
{DBGFIELD("VLD4DUPq16EvenPseudo_VLD4DUPq16OddPseudo_VLD4DUPq32EvenPseudo_VLD4DUPq32OddPseudo_VLD4DUPq8EvenPseudo_VLD4DUPq8OddPseudo") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1039
{DBGFIELD("VST1d16TPseudo_VST1d32TPseudo_VST1d8TPseudo_VST1q16HighTPseudo_VST1q16LowTPseudo_UPD_VST1q32HighTPseudo_VST1q32LowTPseudo_UPD_VST1q64HighTPseudo_VST1q64LowTPseudo_UPD_VST1q8HighTPseudo_VST1q8LowTPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1040
{DBGFIELD("VST1q16HighQPseudo_VST1q16LowQPseudo_UPD_VST1q32HighQPseudo_VST1q32LowQPseudo_UPD_VST1q64HighQPseudo_VST1q64LowQPseudo_UPD_VST1q8HighQPseudo_VST1q8LowQPseudo_UPD") 1, false, false, 0, 0, 1, 1, 0, 0}, // #1041
{DBGFIELD("VMOVD0") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU") 1, false, false, 4, 1, 2, 1, 0, 1}, // #1043
{DBGFIELD("WriteALU_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #1044
{DBGFIELD("A57Write_2cyc_1M_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("SwiftWriteP0ThreeCycleThreeUops_anonymous_3147") 3, false, false, 284, 2, 3, 1, 71, 1}, // #1046
{DBGFIELD("SwiftWriteP01TwoCycle_NoReadAdvance") 1, false, false, 4, 1, 2, 1, 0, 1}, // #1047
{DBGFIELD("A57Write_2cyc_1I_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("A57Write_2cyc_1M_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178") 1, false, false, 286, 2, 16, 1, 139, 3}, // #1059
{DBGFIELD("SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU") 1, false, false, 6, 2, 9, 1, 64, 3}, // #1060
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178") 5, false, false, 176, 2, 1160, 2, 146, 4}, // #1061
{DBGFIELD("WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU") 5, false, false, 176, 2, 1160, 2, 67, 4}, // #1062
{DBGFIELD("SwiftWriteP01TwoCycle_anonymous_3147") 1, false, false, 4, 1, 2, 1, 71, 1}, // #1063
{DBGFIELD("WriteALU_anonymous_3147") 1, false, false, 4, 1, 1, 1, 71, 1}, // #1064
{DBGFIELD("WriteALU_NoReadAdvance") 1, false, false, 4, 1, 1, 1, 0, 1}, // #1065
{DBGFIELD("A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops_anonymous_3147") 2, false, false, 32, 1, 3, 1, 71, 1}, // #1075
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU") 1, false, false, 4, 1, 1, 1, 0, 1}, // #1076
{DBGFIELD("A57Write_2cyc_1I_ReadALU") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("SwiftWriteP01ThreeCycleTwoUops") 2, false, false, 32, 1, 3, 1, 0, 0}, // #1087
{DBGFIELD("SwiftWriteP01TwoCycle") 1, false, false, 4, 1, 2, 1, 0, 0}, // #1088
{DBGFIELD("A57Write_2cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("A57Write_2cyc_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("WriteALU") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1091
{DBGFIELD("A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("(A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp)_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
{DBGFIELD("A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1110
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1111
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1112
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1113
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1114
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1115
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1116
{DBGFIELD("(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1117
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1118
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1119
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1120
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1121
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1122
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1123
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1124
{DBGFIELD("A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1125
{DBGFIELD("SwiftWriteP01OneCycle_ReadALU_ReadALU") 1, false, false, 4, 1, 1, 1, 64, 2}, // #1126
{DBGFIELD("SwiftWriteP01TwoCycle_ReadALU_ReadALU") 1, false, false, 4, 1, 2, 1, 64, 2}, // #1127
{DBGFIELD("SwiftWriteP01OneCycle") 1, false, false, 4, 1, 1, 1, 0, 0}, // #1128
{DBGFIELD("A57Write_1cyc_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1129
{DBGFIELD("(SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)") 2, false, false, 288, 2, 2, 1, 0, 0}, // #1130
{DBGFIELD("SwiftWriteP0OneCycle") 1, false, false, 6, 2, 1, 1, 0, 0}, // #1131
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178") 1, false, false, 286, 2, 16, 1, 139, 3}, // #1132
{DBGFIELD("SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU") 1, false, false, 6, 2, 9, 1, 64, 3}, // #1133
{DBGFIELD("SwiftWriteP0P01FiveCycleTwoUops") 1, false, false, 286, 2, 16, 1, 0, 0}, // #1134
{DBGFIELD("SwiftWriteP0FourCycle") 1, false, false, 6, 2, 9, 1, 0, 0}, // #1135
{DBGFIELD("A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1136
{DBGFIELD("A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1137
{DBGFIELD("A57Write_5cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1138
{DBGFIELD("A57Write_3cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1139
{DBGFIELD("A57Write_4cyc_1I_1L_1M_A57WrBackThree") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1140
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1141
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1142
{DBGFIELD("A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1143
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1144
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1145
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB") 3, false, false, 28, 2, 1258, 3, 0, 0}, // #1146
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB") 4, false, false, 211, 2, 1261, 4, 0, 0}, // #1147
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB") 5, false, false, 273, 2, 1265, 5, 0, 0}, // #1148
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB") 6, false, false, 290, 2, 1270, 6, 0, 0}, // #1149
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB") 7, false, false, 292, 2, 1276, 7, 0, 0}, // #1150
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB") 8, false, false, 294, 2, 1283, 8, 0, 0}, // #1151
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB") 9, false, false, 296, 2, 1291, 9, 0, 0}, // #1152
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB") 10, false, false, 298, 2, 1300, 10, 0, 0}, // #1153
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB") 11, false, false, 300, 2, 1310, 11, 0, 0}, // #1154
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB") 12, false, false, 302, 2, 1321, 12, 0, 0}, // #1155
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB") 13, false, false, 304, 2, 1333, 13, 0, 0}, // #1156
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB") 14, false, false, 306, 2, 1346, 14, 0, 0}, // #1157
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB") 15, false, false, 308, 2, 1360, 15, 0, 0}, // #1158
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB") 16, false, false, 310, 2, 1375, 16, 0, 0}, // #1159
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB") 17, false, false, 312, 2, 1391, 17, 0, 0}, // #1160
{DBGFIELD("SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB") 3, false, false, 28, 2, 1391, 17, 0, 0}, // #1161
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1162
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1163
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1164
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1165
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1166
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1167
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1168
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1169
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1170
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1171
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1172
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1173
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1174
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1175
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1176
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1177
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1178
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1179
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1180
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1181
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1182
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1183
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1184
{DBGFIELD("A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1185
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1186
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1187
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1188
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1189
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1190
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1191
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1192
{DBGFIELD("A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1193
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy") 3, false, false, 34, 2, 1408, 3, 0, 0}, // #1194
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy") 4, false, false, 31, 2, 1411, 4, 0, 0}, // #1195
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy") 5, false, false, 276, 2, 1415, 5, 0, 0}, // #1196
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy") 6, false, false, 314, 2, 1420, 6, 0, 0}, // #1197
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy") 7, false, false, 316, 2, 1426, 7, 0, 0}, // #1198
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy") 8, false, false, 318, 2, 1433, 8, 0, 0}, // #1199
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy") 9, false, false, 320, 2, 1441, 9, 0, 0}, // #1200
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy") 10, false, false, 322, 2, 1450, 10, 0, 0}, // #1201
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy") 11, false, false, 324, 2, 1460, 11, 0, 0}, // #1202
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy") 12, false, false, 326, 2, 1471, 12, 0, 0}, // #1203
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy") 13, false, false, 328, 2, 1483, 13, 0, 0}, // #1204
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy") 14, false, false, 330, 2, 1496, 14, 0, 0}, // #1205
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy") 15, false, false, 332, 2, 1510, 15, 0, 0}, // #1206
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy") 16, false, false, 334, 2, 1525, 16, 0, 0}, // #1207
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy") 17, false, false, 336, 2, 1541, 17, 0, 0}, // #1208
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo") 3, false, false, 34, 2, 1541, 17, 0, 0}, // #1209
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1210
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1211
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1212
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1213
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1214
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1215
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1216
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1217
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1218
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1219
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1220
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1221
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1222
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1223
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1224
{DBGFIELD("R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1225
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1226
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1227
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1228
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1229
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1230
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1231
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1232
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1233
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle") 4, false, false, 338, 3, 1558, 4, 0, 0}, // #1234
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle") 5, false, false, 341, 3, 1562, 5, 0, 0}, // #1235
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle") 6, false, false, 344, 3, 1567, 6, 0, 0}, // #1236
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle") 7, false, false, 347, 3, 1573, 7, 0, 0}, // #1237
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle") 8, false, false, 350, 3, 1580, 8, 0, 0}, // #1238
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle") 9, false, false, 353, 3, 1588, 9, 0, 0}, // #1239
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle") 10, false, false, 356, 3, 1597, 10, 0, 0}, // #1240
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle") 11, false, false, 359, 3, 1607, 11, 0, 0}, // #1241
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle") 12, false, false, 362, 3, 1618, 12, 0, 0}, // #1242
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle") 13, false, false, 365, 3, 1630, 13, 0, 0}, // #1243
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle") 14, false, false, 368, 3, 1643, 14, 0, 0}, // #1244
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle") 15, false, false, 371, 3, 1657, 15, 0, 0}, // #1245
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle") 16, false, false, 374, 3, 1672, 16, 0, 0}, // #1246
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle") 17, false, false, 377, 3, 1688, 17, 0, 0}, // #1247
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle") 18, false, false, 380, 3, 1705, 18, 0, 0}, // #1248
{DBGFIELD("SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle") 4, false, false, 338, 3, 1705, 18, 0, 0}, // #1249
{DBGFIELD("A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1250
{DBGFIELD("A57Write_1cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1251
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 4, false, false, 34, 2, 0, 1, 0, 0}, // #1252
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 6, false, false, 81, 2, 0, 1, 0, 0}, // #1253
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 8, false, false, 84, 2, 0, 1, 0, 0}, // #1254
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 10, false, false, 87, 2, 0, 1, 0, 0}, // #1255
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 12, false, false, 90, 2, 0, 1, 0, 0}, // #1256
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 14, false, false, 93, 2, 0, 1, 0, 0}, // #1257
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 16, false, false, 96, 2, 0, 1, 0, 0}, // #1258
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 18, false, false, 383, 2, 0, 1, 0, 0}, // #1259
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 20, false, false, 385, 2, 0, 1, 0, 0}, // #1260
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 22, false, false, 387, 2, 0, 1, 0, 0}, // #1261
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 24, false, false, 389, 2, 0, 1, 0, 0}, // #1262
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 26, false, false, 391, 2, 0, 1, 0, 0}, // #1263
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 28, false, false, 393, 2, 0, 1, 0, 0}, // #1264
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 30, false, false, 395, 2, 0, 1, 0, 0}, // #1265
{DBGFIELD("(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 32, false, false, 397, 2, 0, 1, 0, 0}, // #1266
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1267
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1268
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1269
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1270
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1271
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1272
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1273
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1274
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1275
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1276
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1277
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1278
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1279
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1280
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1281
{DBGFIELD("A57Write_2cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1282
{DBGFIELD("A57Write_3cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1283
{DBGFIELD("A57Write_4cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1284
{DBGFIELD("A57Write_5cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1285
{DBGFIELD("A57Write_6cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1286
{DBGFIELD("A57Write_7cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1287
{DBGFIELD("A57Write_8cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1288
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 5, false, false, 251, 2, 257, 2, 0, 0}, // #1289
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 7, false, false, 259, 2, 257, 2, 0, 0}, // #1290
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 9, false, false, 282, 2, 257, 2, 0, 0}, // #1291
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 11, false, false, 399, 2, 257, 2, 0, 0}, // #1292
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 13, false, false, 401, 2, 257, 2, 0, 0}, // #1293
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 15, false, false, 403, 2, 257, 2, 0, 0}, // #1294
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 17, false, false, 405, 2, 257, 2, 0, 0}, // #1295
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 19, false, false, 407, 2, 257, 2, 0, 0}, // #1296
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 21, false, false, 409, 2, 257, 2, 0, 0}, // #1297
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 23, false, false, 411, 2, 257, 2, 0, 0}, // #1298
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 25, false, false, 413, 2, 257, 2, 0, 0}, // #1299
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 27, false, false, 415, 2, 257, 2, 0, 0}, // #1300
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 29, false, false, 417, 2, 257, 2, 0, 0}, // #1301
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 31, false, false, 419, 2, 257, 2, 0, 0}, // #1302
{DBGFIELD("SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 33, false, false, 421, 2, 257, 2, 0, 0}, // #1303
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1304
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1305
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1306
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1307
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1308
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1309
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1310
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1311
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1312
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1313
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1314
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1315
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1316
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1317
{DBGFIELD("(R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1318
{DBGFIELD("A57WrBackOne_A57Write_1cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1319
{DBGFIELD("A57WrBackOne_A57Write_2cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1320
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1321
{DBGFIELD("A57WrBackOne_A57Write_4cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1322
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1323
{DBGFIELD("A57WrBackOne_A57Write_6cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1324
{DBGFIELD("A57WrBackOne_A57Write_7cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1325
{DBGFIELD("A57WrBackOne_A57Write_8cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1326
{DBGFIELD("A57Write_6cyc_1V_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1327
{DBGFIELD("A57Write_3cyc_1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1328
{DBGFIELD("A57Write_4cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1329
{DBGFIELD("A57Write_5cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1330
{DBGFIELD("A57Write_6cyc_1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1331
{DBGFIELD("A57Write_4cyc_1W_anonymous_4022") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1332
{DBGFIELD("A57Write_5cyc_1W_anonymous_4024") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1333
{DBGFIELD("A57Write_5cyc_1W_anonymous_4017") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1334
{DBGFIELD("A57Write_6cyc_1W_anonymous_4019") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1335
{DBGFIELD("SwiftWriteLM4Cy") 1, false, false, 18, 1, 9, 1, 0, 0}, // #1336
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 1, false, false, 18, 1, 49, 2, 0, 0}, // #1337
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 6, false, false, 423, 3, 1723, 5, 0, 0}, // #1338
{DBGFIELD("SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 1, false, false, 18, 1, 1728, 4, 0, 0}, // #1339
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 8, false, false, 266, 3, 1732, 7, 0, 0}, // #1340
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 9, false, false, 426, 3, 1739, 8, 0, 0}, // #1341
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 11, false, false, 429, 3, 1747, 9, 0, 0}, // #1342
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 6, false, false, 432, 3, 1756, 10, 0, 0}, // #1343
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 13, false, false, 435, 3, 1766, 11, 0, 0}, // #1344
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 10, false, false, 438, 3, 1777, 12, 0, 0}, // #1345
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 13, false, false, 435, 3, 1789, 13, 0, 0}, // #1346
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 8, false, false, 224, 3, 1802, 14, 0, 0}, // #1347
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 13, false, false, 435, 3, 1816, 15, 0, 0}, // #1348
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 13, false, false, 441, 3, 1831, 14, 0, 0}, // #1349
{DBGFIELD("SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 15, false, false, 444, 3, 1845, 17, 0, 0}, // #1350
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 10, false, false, 447, 3, 1862, 18, 0, 0}, // #1351
{DBGFIELD("SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 6, false, false, 432, 3, 1880, 34, 0, 0}, // #1352
{DBGFIELD("R52WriteLM5Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1353
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1354
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1355
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1356
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1357
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1358
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1359
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1360
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1361
{DBGFIELD("R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1362
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1363
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1364
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1365
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1366
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1367
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1368
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1369
{DBGFIELD("A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1370
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1371
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1372
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1373
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1374
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1375
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1376
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1377
{DBGFIELD("A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1378
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy") 3, false, false, 184, 2, 363, 2, 0, 0}, // #1379
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo") 3, false, false, 184, 2, 363, 3, 0, 0}, // #1380
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 8, false, false, 450, 3, 1722, 6, 0, 0}, // #1381
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo") 3, false, false, 184, 2, 1914, 5, 0, 0}, // #1382
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 10, false, false, 453, 3, 1919, 8, 0, 0}, // #1383
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 11, false, false, 456, 3, 1927, 9, 0, 0}, // #1384
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 13, false, false, 459, 3, 1936, 10, 0, 0}, // #1385
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 8, false, false, 462, 3, 1946, 11, 0, 0}, // #1386
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 15, false, false, 465, 3, 1957, 12, 0, 0}, // #1387
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5") 12, false, false, 468, 3, 1969, 13, 0, 0}, // #1388
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 15, false, false, 465, 3, 1982, 14, 0, 0}, // #1389
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3") 10, false, false, 471, 3, 1996, 15, 0, 0}, // #1390
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 15, false, false, 465, 3, 2011, 16, 0, 0}, // #1391
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7") 15, false, false, 474, 3, 2027, 15, 0, 0}, // #1392
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9") 17, false, false, 477, 3, 2042, 18, 0, 0}, // #1393
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4") 12, false, false, 480, 3, 2060, 19, 0, 0}, // #1394
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2") 8, false, false, 462, 3, 2079, 35, 0, 0}, // #1395
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1396
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1397
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1398
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1399
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1400
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1401
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1402
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1403
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1404
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1405
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1406
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1407
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1408
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1409
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1410
{DBGFIELD("A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1411
{DBGFIELD("SwiftWriteStIncAddr") 2, false, false, 40, 2, 0, 1, 0, 0}, // #1412
{DBGFIELD("A57Write_10cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1413
{DBGFIELD("A57Write_12cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1414
{DBGFIELD("A57Write_14cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1415
{DBGFIELD("A57Write_16cyc_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1416
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr") 4, false, false, 247, 2, 263, 2, 0, 0}, // #1417
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 10, false, false, 233, 2, 263, 2, 0, 0}, // #1418
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 14, false, false, 483, 2, 263, 2, 0, 0}, // #1419
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 18, false, false, 485, 2, 263, 2, 0, 0}, // #1420
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 8, false, false, 263, 2, 263, 2, 0, 0}, // #1421
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 22, false, false, 487, 2, 263, 2, 0, 0}, // #1422
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 26, false, false, 489, 2, 263, 2, 0, 0}, // #1423
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 30, false, false, 491, 2, 263, 2, 0, 0}, // #1424
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 34, false, false, 493, 2, 263, 2, 0, 0}, // #1425
{DBGFIELD("(SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)") 12, false, false, 481, 2, 263, 2, 0, 0}, // #1426
{DBGFIELD("A57WrBackOne_A57Write_10cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1427
{DBGFIELD("A57WrBackOne_A57Write_12cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1428
{DBGFIELD("A57WrBackOne_A57Write_14cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1429
{DBGFIELD("A57WrBackOne_A57Write_16cyc_1S_1I") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1430
{DBGFIELD("A57Write_4cyc_1W_anonymous_4027") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1431
{DBGFIELD("A57Write_5cyc_1W_anonymous_4029") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1432
{DBGFIELD("A57Write_4cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1433
{DBGFIELD("A57Write_2cyc_1I_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1434
{DBGFIELD("A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1435
{DBGFIELD("A57Write_4cyc_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1436
{DBGFIELD("A57Write_4cyc_1L_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1437
{DBGFIELD("A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1438
{DBGFIELD("A57Write_4cyc_1L_1I_A57WrBackOne") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1439
{DBGFIELD("A57WrBackTwo_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1440
{DBGFIELD("A57WrBackOne_A57Write_3cyc_1I_1S") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1441
{DBGFIELD("A57WrBackTwo_A57Write_1cyc_1S_1M") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1442
{DBGFIELD("A57Write_4cyc_1W_anonymous_4012") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1443
{DBGFIELD("A57Write_5cyc_1W_anonymous_4014") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1444
}; // SwiftModelSchedClasses
#undef DBGFIELD
static const llvm::MCSchedModel NoSchedModel = {
MCSchedModel::DefaultIssueWidth,
MCSchedModel::DefaultMicroOpBufferSize,
MCSchedModel::DefaultLoopMicroOpBufferSize,
MCSchedModel::DefaultLoadLatency,
MCSchedModel::DefaultHighLatency,
MCSchedModel::DefaultMispredictPenalty,
false, // PostRAScheduler
false, // CompleteModel
0, // Processor ID
nullptr, nullptr, 0, 0, // No instruction-level machine model.
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const llvm::MCSchedModel ARMV6ItinerariesModel = {
MCSchedModel::DefaultIssueWidth,
MCSchedModel::DefaultMicroOpBufferSize,
MCSchedModel::DefaultLoopMicroOpBufferSize,
MCSchedModel::DefaultLoadLatency,
MCSchedModel::DefaultHighLatency,
MCSchedModel::DefaultMispredictPenalty,
false, // PostRAScheduler
false, // CompleteModel
1, // Processor ID
nullptr, nullptr, 0, 0, // No instruction-level machine model.
ARMV6Itineraries,
nullptr // No extra processor descriptor
};
static const unsigned CortexA9ModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexA9ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"A9UnitAGU", 1, 0, 1, nullptr}, // #1
{"A9UnitALU", 2, 0, -1, nullptr}, // #2
{"A9UnitB", 1, 0, -1, nullptr}, // #3
{"A9UnitFP", 1, 0, 0, nullptr}, // #4
{"A9UnitLS", 1, 0, -1, nullptr}, // #5
{"A9UnitMul", 1, 2, -1, nullptr}, // #6, Super=A9UnitALU
};
static const llvm::MCSchedModel CortexA9Model = {
2, // IssueWidth
56, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
2, // LoadLatency
MCSchedModel::DefaultHighLatency,
8, // MispredictPenalty
false, // PostRAScheduler
false, // CompleteModel
2, // Processor ID
CortexA9ModelProcResources,
CortexA9ModelSchedClasses,
7,
1445,
CortexA9Itineraries,
nullptr // No extra processor descriptor
};
static const llvm::MCSchedModel CortexA8Model = {
2, // IssueWidth
MCSchedModel::DefaultMicroOpBufferSize,
MCSchedModel::DefaultLoopMicroOpBufferSize,
2, // LoadLatency
MCSchedModel::DefaultHighLatency,
13, // MispredictPenalty
false, // PostRAScheduler
false, // CompleteModel
3, // Processor ID
nullptr, nullptr, 0, 0, // No instruction-level machine model.
CortexA8Itineraries,
nullptr // No extra processor descriptor
};
static const unsigned CortexA57ModelProcResourceSubUnits[] = {
0, // Invalid
8, 7, // A57UnitV
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexA57ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"A57UnitB", 1, 0, -1, nullptr}, // #1
{"A57UnitI", 2, 0, -1, nullptr}, // #2
{"A57UnitL", 1, 0, -1, nullptr}, // #3
{"A57UnitM", 1, 0, -1, nullptr}, // #4
{"A57UnitS", 1, 0, -1, nullptr}, // #5
{"A57UnitV", 2, 0, -1, CortexA57ModelProcResourceSubUnits + 1}, // #6
{"A57UnitW", 1, 0, -1, nullptr}, // #7
{"A57UnitX", 1, 0, -1, nullptr}, // #8
};
static const llvm::MCSchedModel CortexA57Model = {
3, // IssueWidth
128, // MicroOpBufferSize
16, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
16, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
4, // Processor ID
CortexA57ModelProcResources,
CortexA57ModelSchedClasses,
9,
1445,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned CortexM4ModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexM4ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"M4Unit", 1, 0, 0, nullptr}, // #1
};
static const llvm::MCSchedModel CortexM4Model = {
1, // IssueWidth
0, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
2, // LoadLatency
MCSchedModel::DefaultHighLatency,
2, // MispredictPenalty
true, // PostRAScheduler
false, // CompleteModel
5, // Processor ID
CortexM4ModelProcResources,
CortexM4ModelSchedClasses,
2,
1445,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned CortexR52ModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexR52ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"R52UnitALU", 2, 0, 0, nullptr}, // #1
{"R52UnitB", 1, 0, 0, nullptr}, // #2
{"R52UnitDiv", 1, 0, 0, nullptr}, // #3
{"R52UnitFPALU", 2, 0, 0, nullptr}, // #4
{"R52UnitFPMUL", 2, 0, 0, nullptr}, // #5
{"R52UnitLd", 1, 0, 0, nullptr}, // #6
{"R52UnitMAC", 1, 0, 0, nullptr}, // #7
};
static const llvm::MCSchedModel CortexR52Model = {
2, // IssueWidth
0, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
1, // LoadLatency
MCSchedModel::DefaultHighLatency,
8, // MispredictPenalty
false, // PostRAScheduler
false, // CompleteModel
6, // Processor ID
CortexR52ModelProcResources,
CortexR52ModelSchedClasses,
8,
1445,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned SwiftModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc SwiftModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"SwiftUnitDiv", 1, 0, -1, nullptr}, // #1
{"SwiftUnitP0", 1, 5, -1, nullptr}, // #2, Super=SwiftUnitP01
{"SwiftUnitP1", 1, 5, -1, nullptr}, // #3, Super=SwiftUnitP01
{"SwiftUnitP2", 1, 0, -1, nullptr}, // #4
{"SwiftUnitP01", 2, 0, -1, nullptr}, // #5
};
static const llvm::MCSchedModel SwiftModel = {
3, // IssueWidth
45, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
3, // LoadLatency
MCSchedModel::DefaultHighLatency,
14, // MispredictPenalty
false, // PostRAScheduler
false, // CompleteModel
7, // Processor ID
SwiftModelProcResources,
SwiftModelSchedClasses,
6,
1445,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
// Sorted (by key) array of values for CPU subtype.
extern const llvm::SubtargetSubTypeKV ARMSubTypeKV[] = {
{ "arm1020e", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm1020t", { { { 0x40ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm1022e", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm10e", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm10tdmi", { { { 0x40ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm1136j-s", { { { 0x200ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1136jf-s", { { { 0x200ULL, 0x2000000000040ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1156t2-s", { { { 0x8000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1156t2f-s", { { { 0x8000ULL, 0x2000000000040ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1176j-s", { { { 0x1000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1176jz-s", { { { 0x1000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm1176jzf-s", { { { 0x1000ULL, 0x2000000000040ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "arm710t", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm720t", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm7tdmi", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm7tdmi-s", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm8", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm810", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm9", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm920", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm920t", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm922t", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm926ej-s", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm940t", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm946e-s", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm966e-s", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm968e-s", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm9e", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "arm9tdmi", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "cortex-a12", { { { 0x4000010000ULL, 0x1880200000000810ULL, 0x2000000ULL, } } }, &CortexA9Model },
{ "cortex-a15", { { { 0x824000010000ULL, 0x1080240000008810ULL, 0x4000000ULL, } } }, &CortexA9Model },
{ "cortex-a17", { { { 0x4000010000ULL, 0x1880200000000810ULL, 0x8000000ULL, } } }, &CortexA9Model },
{ "cortex-a32", { { { 0x48000800000ULL, 0x6ULL, 0x0ULL, } } }, &NoSchedModel },
{ "cortex-a35", { { { 0x48000800000ULL, 0x6ULL, 0x20000000ULL, } } }, &NoSchedModel },
{ "cortex-a5", { { { 0x10000ULL, 0x880202000000870ULL, 0x200000ULL, } } }, &CortexA8Model },
{ "cortex-a53", { { { 0x40048000800000ULL, 0x6ULL, 0x40000000ULL, } } }, &NoSchedModel },
{ "cortex-a55", { { { 0x1000020000000ULL, 0x6ULL, 0x80000000ULL, } } }, &NoSchedModel },
{ "cortex-a57", { { { 0x4005c000800000ULL, 0x6ULL, 0x100000000ULL, } } }, &CortexA57Model },
{ "cortex-a7", { { { 0x10000ULL, 0x18802020000008f0ULL, 0x400000ULL, } } }, &CortexA8Model },
{ "cortex-a72", { { { 0x48000800000ULL, 0x6ULL, 0x200000000ULL, } } }, &CortexA57Model },
{ "cortex-a73", { { { 0x48000800000ULL, 0x6ULL, 0x400000000ULL, } } }, &NoSchedModel },
{ "cortex-a75", { { { 0x1000020000000ULL, 0x6ULL, 0x800000000ULL, } } }, &NoSchedModel },
{ "cortex-a76", { { { 0x4001048020000000ULL, 0x6ULL, 0x1000000000ULL, } } }, &NoSchedModel },
{ "cortex-a76ae", { { { 0x4001048020000000ULL, 0x6ULL, 0x1000000000ULL, } } }, &NoSchedModel },
{ "cortex-a8", { { { 0x10000ULL, 0x8002020010000f0ULL, 0x800000ULL, } } }, &CortexA8Model },
{ "cortex-a9", { { { 0xc024000010000ULL, 0x800200020048890ULL, 0x1000000ULL, } } }, &CortexA9Model },
{ "cortex-m0", { { { 0x2000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "cortex-m0plus", { { { 0x2000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "cortex-m1", { { { 0x2000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "cortex-m23", { { { 0x1000000ULL, 0x200000ULL, 0x0ULL, } } }, &NoSchedModel },
{ "cortex-m3", { { { 0x80000ULL, 0x400010000008ULL, 0x10000000000ULL, } } }, &CortexM4Model },
{ "cortex-m33", { { { 0x200400002000000ULL, 0x400010000068ULL, 0x0ULL, } } }, &CortexM4Model },
{ "cortex-m35p", { { { 0x200400002000000ULL, 0x400010000068ULL, 0x0ULL, } } }, &CortexM4Model },
{ "cortex-m4", { { { 0x20000ULL, 0x200400010000068ULL, 0x0ULL, } } }, &CortexM4Model },
{ "cortex-m7", { { { 0x100000000020000ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "cortex-r4", { { { 0x4000100000ULL, 0x10ULL, 0x20000000000ULL, } } }, &CortexA8Model },
{ "cortex-r4f", { { { 0x4000100000ULL, 0x10002000000070ULL, 0x20000000000ULL, } } }, &CortexA8Model },
{ "cortex-r5", { { { 0x4000100000ULL, 0x10002000000072ULL, 0x40000000000ULL, } } }, &CortexA8Model },
{ "cortex-r52", { { { 0x40000004000000ULL, 0x400000000000ULL, 0x100000000000ULL, } } }, &CortexR52Model },
{ "cortex-r7", { { { 0x8004000100000ULL, 0x10002000000872ULL, 0x80000000000ULL, } } }, &CortexA8Model },
{ "cortex-r8", { { { 0x8004000100000ULL, 0x10002000000872ULL, 0x0ULL, } } }, &CortexA8Model },
{ "cyclone", { { { 0x46000800000ULL, 0x2080400000820876ULL, 0x200000000000ULL, } } }, &SwiftModel },
{ "ep9312", { { { 0x20ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "exynos-m3", { { { 0x800000ULL, 0x0ULL, 0x2000000000ULL, } } }, &NoSchedModel },
{ "exynos-m4", { { { 0x4001000020000000ULL, 0x0ULL, 0x2000000000ULL, } } }, &NoSchedModel },
{ "exynos-m5", { { { 0x4001000020000000ULL, 0x0ULL, 0x2000000000ULL, } } }, &NoSchedModel },
{ "generic", { { { 0x0ULL, 0x0ULL, 0x0ULL, } } }, &CortexA8Model },
{ "iwmmxt", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "krait", { { { 0x8024000010000ULL, 0x880000000008016ULL, 0x4000000000ULL, } } }, &CortexA9Model },
{ "kryo", { { { 0x48000800000ULL, 0x6ULL, 0x8000000000ULL, } } }, &NoSchedModel },
{ "mpcore", { { { 0x800ULL, 0x2000000000040ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "mpcorenovfp", { { { 0x800ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "neoverse-n1", { { { 0x1048020000000ULL, 0x6ULL, 0x0ULL, } } }, &NoSchedModel },
{ "sc000", { { { 0x2000ULL, 0x0ULL, 0x0ULL, } } }, &ARMV6ItinerariesModel },
{ "sc300", { { { 0x80000ULL, 0x400000000008ULL, 0x10000000000ULL, } } }, &CortexM4Model },
{ "strongarm", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "strongarm110", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "strongarm1100", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "strongarm1110", { { { 0x10ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "swift", { { { 0x6000010000ULL, 0x80c3c0488208f6ULL, 0x200000000000ULL, } } }, &SwiftModel },
{ "xscale", { { { 0x80ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
};
namespace ARM_MC {
unsigned resolveVariantSchedClassImpl(unsigned SchedClass,
const MCInst *MI, unsigned CPUID) {
switch (SchedClass) {
case 3: // IIC_iALUsr_WriteALUsi_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 4: // IIC_iALUsr_WriteALUSsr_ReadALUsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 10: // IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 26: // IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 34: // IIC_iALUsi_WriteALUsi_ReadALUsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1065; // WriteALU_NoReadAdvance
}
break;
case 36: // IIC_iALUsi_WriteALUsi_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 42: // IIC_iALUsr_WriteALUsr_ReadALUsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 45: // IIC_iBITsr_WriteALUsi_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 46: // IIC_iBITsr_WriteALUsr_ReadALUsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 54: // IIC_iCMPsr_WriteCMPsi_ReadALU
if (CPUID == 7) { // SwiftModel
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 55: // IIC_iCMPsr_WriteCMPsr_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 71: // IIC_iBITsi_WriteALUsi_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 74: // IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 75: // WriteMAC32_ReadMUL_ReadMUL_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 76: // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 89: // IIC_iEXTAr_WriteALUsr
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 90: // IIC_iEXTr_WriteALUsi
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1091; // WriteALU
}
break;
case 93: // IIC_iTSTsr_WriteCMPsi_ReadALU
if (CPUID == 7) { // SwiftModel
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 94: // IIC_iTSTsr_WriteCMPsr_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 280: // IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU
if (CPUID == 7) { // SwiftModel
return 1127; // SwiftWriteP01TwoCycle_ReadALU_ReadALU
}
break;
case 283: // IIC_iCMPsi_WriteCMPsi
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 295: // IIC_iALUsir_WriteALUsi_ReadALU
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 296: // IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 312: // IIC_iTSTsi_WriteCMPsi
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 323: // ANDrsi_ORRrsi_EORrsi_BICrsi
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 324: // ANDrsr_ORRrsr_EORrsr_BICrsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 325: // MOVsra_flag_MOVsrl_flag
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 326: // MOVsr_MOVsi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 327: // MVNsr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 328: // MOVCCsi_MOVCCsr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 334: // SEL
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
if (CPUID == 7) { // SwiftModel
return 1131; // SwiftWriteP0OneCycle
}
break;
case 337: // MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 340: // SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 341: // SMLAD_SMLADX_SMLSD_SMLSDX
if (CPUID == 7) { // SwiftModel
return 1135; // SwiftWriteP0FourCycle
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 342: // SMLALD_SMLSLD
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 346: // SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 348: // LDRrs
if (CPUID == 4) { // CortexA57Model
return 1137; // A57Write_4cyc_1L
}
break;
case 349: // LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB
if (CPUID == 4) { // CortexA57Model
return 1137; // A57Write_4cyc_1L
}
break;
case 351: // SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1091; // WriteALU
}
break;
case 353: // t2MOVCCi32imm
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 354: // t2MOVi32imm
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 355: // t2MOV_ga_pcrel
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 356: // t2MOVi16_ga_pcrel
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 357: // t2SEL
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
if (CPUID == 7) { // SwiftModel
return 1131; // SwiftWriteP0OneCycle
}
break;
case 363: // SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX
if (CPUID == 4) { // CortexA57Model
return 1139; // A57Write_3cyc_1I_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 364: // t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX
if (CPUID == 4) { // CortexA57Model
return 1139; // A57Write_3cyc_1I_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 366: // SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 374: // t2SMUSD_t2SMUSDX
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 375: // t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 376: // t2SMUAD_t2SMUADX
if (CPUID == 7) { // SwiftModel
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 377: // SMLSD_SMLSDX
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 378: // t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 379: // t2SMLSD_t2SMLSDX
if (CPUID == 7) { // SwiftModel
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
}
break;
case 380: // t2SMLAD_t2SMLADX
if (CPUID == 7) { // SwiftModel
return 1135; // SwiftWriteP0FourCycle
}
break;
case 383: // t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 387: // LDRBrs
if (CPUID == 4) { // CortexA57Model
return 1137; // A57Write_4cyc_1L
}
break;
case 396: // LDRH_PICLDRB_PICLDRH
if (CPUID == 4) { // CortexA57Model
return 1137; // A57Write_4cyc_1L
}
break;
case 402: // LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 404: // LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 414: // LDRD
if (CPUID == 4) { // CortexA57Model
return 1143; // A57Write_4cyc_1L_A57Write_4cyc_1L
}
break;
case 415: // LDRD_POST_LDRD_PRE
if (CPUID == 4) { // CortexA57Model
return 1145; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 417: // LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
}
if (CPUID == 6) { // CortexR52Model
return 1177; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1161; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB
}
break;
case 418: // LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1209; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo
}
break;
case 419: // LDMIA_RET_t2LDMIA_RET
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 420: // tPOP_RET
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 421: // tPOP
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 423: // PICSTRB_PICSTRH_STRBi12_STRH
if (CPUID == 4) { // CortexA57Model
return 1251; // A57Write_1cyc_1S
}
break;
case 424: // STRrs
if (CPUID == 4) { // CortexA57Model
return 1251; // A57Write_1cyc_1S
}
break;
case 425: // STRBrs
if (CPUID == 4) { // CortexA57Model
return 1251; // A57Write_1cyc_1S
}
break;
case 443: // STRD
if (CPUID == 4) { // CortexA57Model
return 1251; // A57Write_1cyc_1S
}
break;
case 447: // STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1282; // A57Write_2cyc_1S
}
if (CPUID == 6) { // CortexR52Model
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
}
if (CPUID == 7) { // SwiftModel
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 448: // STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (CPUID == 6) { // CortexR52Model
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (CPUID == 7) { // SwiftModel
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 449: // tPUSH
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (CPUID == 6) { // CortexR52Model
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (CPUID == 7) { // SwiftModel
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 515: // VCMPD_VCMPZD_VCMPED_VCMPEZD
if (CPUID == 4) { // CortexA57Model
return 1328; // A57Write_3cyc_1X
}
break;
case 516: // VCMPS_VCMPZS_VCMPES_VCMPEZS
if (CPUID == 4) { // CortexA57Model
return 1328; // A57Write_3cyc_1X
}
break;
case 533: // VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 534: // VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
if (CPUID == 4) { // CortexA57Model
return 1331; // A57Write_6cyc_1W
}
break;
case 538: // VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
if (CPUID == 4) { // CortexA57Model
return 1333; // A57Write_5cyc_1W_anonymous_4024
}
break;
case 539: // VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
if (CPUID == 4) { // CortexA57Model
return 1333; // A57Write_5cyc_1W_anonymous_4024
}
break;
case 543: // VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32
if (CPUID == 4) { // CortexA57Model
return 1335; // A57Write_6cyc_1W_anonymous_4019
}
break;
case 544: // VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16
if (CPUID == 4) { // CortexA57Model
return 1335; // A57Write_6cyc_1W_anonymous_4019
}
break;
case 591: // VLDMDIA_VLDMSIA
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1378; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L
}
if (CPUID == 6) { // CortexR52Model
return 1362; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy
}
if (CPUID == 7) { // SwiftModel
return 1352; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
}
break;
case 592: // VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1411; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I
}
if (CPUID == 7) { // SwiftModel
return 1395; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
}
break;
case 593: // VSTMDIA_VSTMSIA
if (CPUID == 4) { // CortexA57Model
return 1284; // A57Write_4cyc_1S
}
if (CPUID == 7) { // SwiftModel
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 594: // VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD
if (CPUID == 4) { // CortexA57Model
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (CPUID == 7) { // SwiftModel
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 678: // t2MOVCCi_t2MOVCCi16
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 679: // t2MOVi_t2MOVi16
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 686: // MOVsr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 687: // t2MOVSsr_t2MOVsr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 688: // t2MOVsra_flag_t2MOVsrl_flag
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 689: // MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
break;
case 696: // t2MVNs
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 700: // ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 701: // t2ADDSrs
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1065; // WriteALU_NoReadAdvance
}
break;
case 702: // t2ADCrs_t2ADDrs_t2SBCrs
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 703: // t2ANDrs_t2BICrs_t2EORrs_t2ORRrs
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 704: // t2RSBrs
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (CPUID == 7) { // SwiftModel
return 1044; // WriteALU_ReadALU
}
break;
case 705: // ADDSrsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 706: // ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr
if (CPUID == 4) { // CortexA57Model
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (CPUID == 7) { // SwiftModel
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 709: // MVNsi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 710: // t2MOVSsi_t2MOVsi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 711: // ASRi_RORi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 712: // ASRr_RORr_LSRi_LSRr_LSLi_LSLr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 715: // CMPrsi_CMNzrsi
if (CPUID == 7) { // SwiftModel
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 716: // CMPrsr_CMNzrsr
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 717: // t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 719: // RRX
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 722: // TSTrsi
if (CPUID == 7) { // SwiftModel
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 723: // TSTrsr
if (CPUID == 4) { // CortexA57Model
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 768: // VCMPEH_VCMPEZH_VCMPH_VCMPZH
if (CPUID == 4) { // CortexA57Model
return 1328; // A57Write_3cyc_1X
}
break;
case 787: // VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 788: // VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 789: // VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 790: // VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 791: // VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
if (CPUID == 4) { // CortexA57Model
return 1331; // A57Write_6cyc_1W
}
break;
case 792: // VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
if (CPUID == 4) { // CortexA57Model
return 1331; // A57Write_6cyc_1W
}
break;
case 871: // MOVCCsi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 872: // t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 873: // LSRi_LSLi
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 874: // t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 875: // t2MOVCCr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 876: // t2MOVTi16_ga_pcrel_t2MOVTi16
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 877: // t2MOVr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 878: // tROR
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 879: // t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr
if (CPUID == 4) { // CortexA57Model
return 1129; // A57Write_1cyc_1I
}
break;
case 882: // SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8
if (CPUID == 4) { // CortexA57Model
return 1434; // A57Write_2cyc_1I_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 883: // t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8
if (CPUID == 4) { // CortexA57Model
return 1434; // A57Write_2cyc_1I_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 894: // SXTB_SXTH_UXTB_UXTH
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1091; // WriteALU
}
break;
case 897: // SXTAB_SXTAH_UXTAB_UXTAH
if (CPUID == 4) { // CortexA57Model
return 1090; // A57Write_2cyc_1M
}
if (CPUID == 7) { // SwiftModel
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 909: // LDR_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 910: // LDRB_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 911: // LDRH_PRE
if (CPUID == 4) { // CortexA57Model
return 1437; // A57Write_4cyc_1L_A57WrBackOne
}
break;
case 912: // LDRSB_PRE_LDRSH_PRE
if (CPUID == 4) { // CortexA57Model
return 1437; // A57Write_4cyc_1L_A57WrBackOne
}
break;
case 916: // LDRD_PRE
if (CPUID == 4) { // CortexA57Model
return 1145; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 923: // LDRH_POST
if (CPUID == 4) { // CortexA57Model
return 1439; // A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 924: // LDRSB_POST_LDRSH_POST
if (CPUID == 4) { // CortexA57Model
return 1439; // A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 929: // PLDrs_PLDWrs
if (CPUID == 4) { // CortexA57Model
return 1137; // A57Write_4cyc_1L
}
break;
case 936: // STRH_PRE
if (CPUID == 4) { // CortexA57Model
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 940: // STR_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 941: // STRB_PRE_REG
if (CPUID == 4) { // CortexA57Model
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 942: // STRD_PRE
if (CPUID == 4) { // CortexA57Model
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 960: // VSTMSIA
if (CPUID == 4) { // CortexA57Model
return 1282; // A57Write_2cyc_1S
}
if (CPUID == 7) { // SwiftModel
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 961: // VSTMSDB_UPD_VSTMSIA_UPD
if (CPUID == 4) { // CortexA57Model
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (CPUID == 7) { // SwiftModel
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 965: // VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 966: // VMULv2i32_VMULslv2i32
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 967: // VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 968: // VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 969: // VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16
if (CPUID == 4) { // CortexA57Model
return 1331; // A57Write_6cyc_1W
}
break;
case 970: // VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32
if (CPUID == 4) { // CortexA57Model
return 1444; // A57Write_5cyc_1W_anonymous_4014
}
break;
case 971: // VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8
if (CPUID == 4) { // CortexA57Model
return 1444; // A57Write_5cyc_1W_anonymous_4014
}
break;
case 972: // VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 973: // VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 974: // VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 975: // VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16
if (CPUID == 4) { // CortexA57Model
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 976: // VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16
if (CPUID == 4) { // CortexA57Model
return 1330; // A57Write_5cyc_1W
}
break;
case 1007: // t2LDMIA_RET
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 1008: // tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (CPUID == 6) { // CortexR52Model
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1209; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo
}
break;
case 1009: // t2LDMDB_t2LDMIA_tLDMIA
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
}
if (CPUID == 6) { // CortexR52Model
return 1177; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
}
if (CPUID == 7) { // SwiftModel
return 1161; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB
}
break;
case 1014: // t2STMDB_t2STMIA
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1282; // A57Write_2cyc_1S
}
if (CPUID == 6) { // CortexR52Model
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
}
if (CPUID == 7) { // SwiftModel
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 1015: // t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
if (CPUID == 2) { // CortexA9Model
}
if (CPUID == 4) { // CortexA57Model
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (CPUID == 6) { // CortexR52Model
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (CPUID == 7) { // SwiftModel
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 1020: // t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX
if (CPUID == 7) { // SwiftModel
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
};
// Don't know how to resolve this scheduling class.
return 0;
}
} // end namespace ARM_MC
struct ARMGenMCSubtargetInfo : public MCSubtargetInfo {
ARMGenMCSubtargetInfo(const Triple &TT,
StringRef CPU, StringRef FS, ArrayRef<SubtargetFeatureKV> PF,
ArrayRef<SubtargetSubTypeKV> PD,
const MCWriteProcResEntry *WPR,
const MCWriteLatencyEntry *WL,
const MCReadAdvanceEntry *RA, const InstrStage *IS,
const unsigned *OC, const unsigned *FP) :
MCSubtargetInfo(TT, CPU, FS, PF, PD,
WPR, WL, RA, IS, OC, FP) { }
unsigned resolveVariantSchedClass(unsigned SchedClass,
const MCInst *MI, unsigned CPUID) const override {
return ARM_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
}
};
static inline MCSubtargetInfo *createARMMCSubtargetInfoImpl(const Triple &TT, StringRef CPU, StringRef FS) {
return new ARMGenMCSubtargetInfo(TT, CPU, FS, ARMFeatureKV, ARMSubTypeKV,
ARMWriteProcResTable, ARMWriteLatencyTable, ARMReadAdvanceTable,
ARMStages, ARMOperandCycles, ARMForwardingPaths);
}
} // end namespace llvm
#endif // GET_SUBTARGETINFO_MC_DESC
#ifdef GET_SUBTARGETINFO_TARGET_DESC
#undef GET_SUBTARGETINFO_TARGET_DESC
#include "llvm/Support/Debug.h"
#include "llvm/Support/raw_ostream.h"
// ParseSubtargetFeatures - Parses features string setting specified
// subtarget options.
void llvm::ARMSubtarget::ParseSubtargetFeatures(StringRef CPU, StringRef FS) {
LLVM_DEBUG(dbgs() << "\nFeatures:" << FS);
LLVM_DEBUG(dbgs() << "\nCPU:" << CPU << "\n\n");
InitMCProcessorInfo(CPU, FS);
const FeatureBitset& Bits = getFeatureBits();
if (Bits[ARM::ARMv2] && ARMArch < ARMv2) ARMArch = ARMv2;
if (Bits[ARM::ARMv2a] && ARMArch < ARMv2a) ARMArch = ARMv2a;
if (Bits[ARM::ARMv3] && ARMArch < ARMv3) ARMArch = ARMv3;
if (Bits[ARM::ARMv3m] && ARMArch < ARMv3m) ARMArch = ARMv3m;
if (Bits[ARM::ARMv4] && ARMArch < ARMv4) ARMArch = ARMv4;
if (Bits[ARM::ARMv4t] && ARMArch < ARMv4t) ARMArch = ARMv4t;
if (Bits[ARM::ARMv5t] && ARMArch < ARMv5t) ARMArch = ARMv5t;
if (Bits[ARM::ARMv5te] && ARMArch < ARMv5te) ARMArch = ARMv5te;
if (Bits[ARM::ARMv5tej] && ARMArch < ARMv5tej) ARMArch = ARMv5tej;
if (Bits[ARM::ARMv6] && ARMArch < ARMv6) ARMArch = ARMv6;
if (Bits[ARM::ARMv6j] && ARMArch < ARMv7a) ARMArch = ARMv7a;
if (Bits[ARM::ARMv6k] && ARMArch < ARMv6k) ARMArch = ARMv6k;
if (Bits[ARM::ARMv6kz] && ARMArch < ARMv6kz) ARMArch = ARMv6kz;
if (Bits[ARM::ARMv6m] && ARMArch < ARMv6m) ARMArch = ARMv6m;
if (Bits[ARM::ARMv6sm] && ARMArch < ARMv6sm) ARMArch = ARMv6sm;
if (Bits[ARM::ARMv6t2] && ARMArch < ARMv6t2) ARMArch = ARMv6t2;
if (Bits[ARM::ARMv7a] && ARMArch < ARMv7a) ARMArch = ARMv7a;
if (Bits[ARM::ARMv7em] && ARMArch < ARMv7em) ARMArch = ARMv7em;
if (Bits[ARM::ARMv7k] && ARMArch < ARMv7a) ARMArch = ARMv7a;
if (Bits[ARM::ARMv7m] && ARMArch < ARMv7m) ARMArch = ARMv7m;
if (Bits[ARM::ARMv7r] && ARMArch < ARMv7r) ARMArch = ARMv7r;
if (Bits[ARM::ARMv7s] && ARMArch < ARMv7a) ARMArch = ARMv7a;
if (Bits[ARM::ARMv7ve] && ARMArch < ARMv7ve) ARMArch = ARMv7ve;
if (Bits[ARM::ARMv8a] && ARMArch < ARMv8a) ARMArch = ARMv8a;
if (Bits[ARM::ARMv8mBaseline] && ARMArch < ARMv8mBaseline) ARMArch = ARMv8mBaseline;
if (Bits[ARM::ARMv8mMainline] && ARMArch < ARMv8mMainline) ARMArch = ARMv8mMainline;
if (Bits[ARM::ARMv8r] && ARMArch < ARMv8r) ARMArch = ARMv8r;
if (Bits[ARM::ARMv81a] && ARMArch < ARMv81a) ARMArch = ARMv81a;
if (Bits[ARM::ARMv81mMainline] && ARMArch < ARMv81mMainline) ARMArch = ARMv81mMainline;
if (Bits[ARM::ARMv82a] && ARMArch < ARMv82a) ARMArch = ARMv82a;
if (Bits[ARM::ARMv83a] && ARMArch < ARMv83a) ARMArch = ARMv83a;
if (Bits[ARM::ARMv84a] && ARMArch < ARMv84a) ARMArch = ARMv84a;
if (Bits[ARM::ARMv85a] && ARMArch < ARMv85a) ARMArch = ARMv85a;
if (Bits[ARM::Feature8MSecExt]) Has8MSecExt = true;
if (Bits[ARM::FeatureAClass] && ARMProcClass < AClass) ARMProcClass = AClass;
if (Bits[ARM::FeatureAES]) HasAES = true;
if (Bits[ARM::FeatureAcquireRelease]) HasAcquireRelease = true;
if (Bits[ARM::FeatureAvoidMOVsShOp]) AvoidMOVsShifterOperand = true;
if (Bits[ARM::FeatureAvoidPartialCPSR]) AvoidCPSRPartialUpdate = true;
if (Bits[ARM::FeatureCRC]) HasCRC = true;
if (Bits[ARM::FeatureCheapPredicableCPSR]) CheapPredicableCPSRDef = true;
if (Bits[ARM::FeatureCheckVLDnAlign]) CheckVLDnAlign = true;
if (Bits[ARM::FeatureCrypto]) HasCrypto = true;
if (Bits[ARM::FeatureD32]) HasD32 = true;
if (Bits[ARM::FeatureDB]) HasDataBarrier = true;
if (Bits[ARM::FeatureDFB]) HasFullDataBarrier = true;
if (Bits[ARM::FeatureDSP]) HasDSP = true;
if (Bits[ARM::FeatureDontWidenVMOVS]) DontWidenVMOVS = true;
if (Bits[ARM::FeatureDotProd]) HasDotProd = true;
if (Bits[ARM::FeatureExecuteOnly]) GenExecuteOnly = true;
if (Bits[ARM::FeatureExpandMLx]) ExpandMLx = true;
if (Bits[ARM::FeatureFP16]) HasFP16 = true;
if (Bits[ARM::FeatureFP16FML]) HasFP16FML = true;
if (Bits[ARM::FeatureFP64]) HasFP64 = true;
if (Bits[ARM::FeatureFPAO]) HasFPAO = true;
if (Bits[ARM::FeatureFPARMv8]) HasFPARMv8 = true;
if (Bits[ARM::FeatureFPARMv8_D16]) HasFPARMv8D16 = true;
if (Bits[ARM::FeatureFPARMv8_D16_SP]) HasFPARMv8D16SP = true;
if (Bits[ARM::FeatureFPARMv8_SP]) HasFPARMv8SP = true;
if (Bits[ARM::FeatureFPRegs]) HasFPRegs = true;
if (Bits[ARM::FeatureFPRegs16]) HasFPRegs16 = true;
if (Bits[ARM::FeatureFPRegs64]) HasFPRegs64 = true;
if (Bits[ARM::FeatureFullFP16]) HasFullFP16 = true;
if (Bits[ARM::FeatureFuseAES]) HasFuseAES = true;
if (Bits[ARM::FeatureFuseLiterals]) HasFuseLiterals = true;
if (Bits[ARM::FeatureHWDivARM]) HasHardwareDivideInARM = true;
if (Bits[ARM::FeatureHWDivThumb]) HasHardwareDivideInThumb = true;
if (Bits[ARM::FeatureHasNoBranchPredictor]) HasBranchPredictor = false;
if (Bits[ARM::FeatureHasRetAddrStack]) HasRetAddrStack = true;
if (Bits[ARM::FeatureHasSlowFPVFMx]) SlowFPVFMx = true;
if (Bits[ARM::FeatureHasSlowFPVMLx]) SlowFPVMLx = true;
if (Bits[ARM::FeatureHasVMLxHazards]) HasVMLxHazards = true;
if (Bits[ARM::FeatureLOB]) HasLOB = true;
if (Bits[ARM::FeatureLongCalls]) GenLongCalls = true;
if (Bits[ARM::FeatureMClass] && ARMProcClass < MClass) ARMProcClass = MClass;
if (Bits[ARM::FeatureMP]) HasMPExtension = true;
if (Bits[ARM::FeatureMVEVectorCostFactor1] && MVEVectorCostFactor < 1) MVEVectorCostFactor = 1;
if (Bits[ARM::FeatureMVEVectorCostFactor2] && MVEVectorCostFactor < 2) MVEVectorCostFactor = 2;
if (Bits[ARM::FeatureMVEVectorCostFactor4] && MVEVectorCostFactor < 4) MVEVectorCostFactor = 4;
if (Bits[ARM::FeatureMuxedUnits]) HasMuxedUnits = true;
if (Bits[ARM::FeatureNEON]) HasNEON = true;
if (Bits[ARM::FeatureNEONForFP]) UseNEONForSinglePrecisionFP = true;
if (Bits[ARM::FeatureNEONForFPMovs]) UseNEONForFPMovs = true;
if (Bits[ARM::FeatureNaClTrap]) UseNaClTrap = true;
if (Bits[ARM::FeatureNoARM]) NoARM = true;
if (Bits[ARM::FeatureNoMovt]) NoMovt = true;
if (Bits[ARM::FeatureNoNegativeImmediates]) NegativeImmediates = false;
if (Bits[ARM::FeatureNoPostRASched]) DisablePostRAScheduler = true;
if (Bits[ARM::FeatureNonpipelinedVFP]) NonpipelinedVFP = true;
if (Bits[ARM::FeaturePerfMon]) HasPerfMon = true;
if (Bits[ARM::FeaturePref32BitThumb]) Pref32BitThumb = true;
if (Bits[ARM::FeaturePrefISHSTBarrier]) PreferISHST = true;
if (Bits[ARM::FeaturePrefLoopAlign32] && PrefLoopLogAlignment < 2) PrefLoopLogAlignment = 2;
if (Bits[ARM::FeaturePreferVMOVSR]) PreferVMOVSR = true;
if (Bits[ARM::FeatureProfUnpredicate]) IsProfitableToUnpredicate = true;
if (Bits[ARM::FeatureRAS]) HasRAS = true;
if (Bits[ARM::FeatureRClass] && ARMProcClass < RClass) ARMProcClass = RClass;
if (Bits[ARM::FeatureReadTp]) ReadTPHard = true;
if (Bits[ARM::FeatureReserveR9]) ReserveR9 = true;
if (Bits[ARM::FeatureSB]) HasSB = true;
if (Bits[ARM::FeatureSHA2]) HasSHA2 = true;
if (Bits[ARM::FeatureSlowFPBrcc]) SlowFPBrcc = true;
if (Bits[ARM::FeatureSlowLoadDSubreg]) SlowLoadDSubregister = true;
if (Bits[ARM::FeatureSlowOddRegister]) SlowOddRegister = true;
if (Bits[ARM::FeatureSlowVDUP32]) HasSlowVDUP32 = true;
if (Bits[ARM::FeatureSlowVGETLNi32]) HasSlowVGETLNi32 = true;
if (Bits[ARM::FeatureSplatVFPToNeon]) SplatVFPToNeon = true;
if (Bits[ARM::FeatureStrictAlign]) StrictAlign = true;
if (Bits[ARM::FeatureThumb2]) HasThumb2 = true;
if (Bits[ARM::FeatureTrustZone]) HasTrustZone = true;
if (Bits[ARM::FeatureUseMISched]) UseMISched = true;
if (Bits[ARM::FeatureUseWideStrideVFP]) UseWideStrideVFP = true;
if (Bits[ARM::FeatureV7Clrex]) HasV7Clrex = true;
if (Bits[ARM::FeatureVFP2]) HasVFPv2 = true;
if (Bits[ARM::FeatureVFP2_SP]) HasVFPv2SP = true;
if (Bits[ARM::FeatureVFP3]) HasVFPv3 = true;
if (Bits[ARM::FeatureVFP3_D16]) HasVFPv3D16 = true;
if (Bits[ARM::FeatureVFP3_D16_SP]) HasVFPv3D16SP = true;
if (Bits[ARM::FeatureVFP3_SP]) HasVFPv3SP = true;
if (Bits[ARM::FeatureVFP4]) HasVFPv4 = true;
if (Bits[ARM::FeatureVFP4_D16]) HasVFPv4D16 = true;
if (Bits[ARM::FeatureVFP4_D16_SP]) HasVFPv4D16SP = true;
if (Bits[ARM::FeatureVFP4_SP]) HasVFPv4SP = true;
if (Bits[ARM::FeatureVMLxForwarding]) HasVMLxForwarding = true;
if (Bits[ARM::FeatureVirtualization]) HasVirtualization = true;
if (Bits[ARM::FeatureZCZeroing]) HasZeroCycleZeroing = true;
if (Bits[ARM::HasMVEFloatOps]) HasMVEFloatOps = true;
if (Bits[ARM::HasMVEIntegerOps]) HasMVEIntegerOps = true;
if (Bits[ARM::HasV4TOps]) HasV4TOps = true;
if (Bits[ARM::HasV5TEOps]) HasV5TEOps = true;
if (Bits[ARM::HasV5TOps]) HasV5TOps = true;
if (Bits[ARM::HasV6KOps]) HasV6KOps = true;
if (Bits[ARM::HasV6MOps]) HasV6MOps = true;
if (Bits[ARM::HasV6Ops]) HasV6Ops = true;
if (Bits[ARM::HasV6T2Ops]) HasV6T2Ops = true;
if (Bits[ARM::HasV7Ops]) HasV7Ops = true;
if (Bits[ARM::HasV8MBaselineOps]) HasV8MBaselineOps = true;
if (Bits[ARM::HasV8MMainlineOps]) HasV8MMainlineOps = true;
if (Bits[ARM::HasV8Ops]) HasV8Ops = true;
if (Bits[ARM::HasV8_1MMainlineOps]) HasV8_1MMainlineOps = true;
if (Bits[ARM::HasV8_1aOps]) HasV8_1aOps = true;
if (Bits[ARM::HasV8_2aOps]) HasV8_2aOps = true;
if (Bits[ARM::HasV8_3aOps]) HasV8_3aOps = true;
if (Bits[ARM::HasV8_4aOps]) HasV8_4aOps = true;
if (Bits[ARM::HasV8_5aOps]) HasV8_5aOps = true;
if (Bits[ARM::IWMMXT] && ARMArch < ARMv5te) ARMArch = ARMv5te;
if (Bits[ARM::IWMMXT2] && ARMArch < ARMv5te) ARMArch = ARMv5te;
if (Bits[ARM::ModeSoftFloat]) UseSoftFloat = true;
if (Bits[ARM::ModeThumb]) InThumbMode = true;
if (Bits[ARM::ProcA5] && ARMProcFamily < CortexA5) ARMProcFamily = CortexA5;
if (Bits[ARM::ProcA7] && ARMProcFamily < CortexA7) ARMProcFamily = CortexA7;
if (Bits[ARM::ProcA8] && ARMProcFamily < CortexA8) ARMProcFamily = CortexA8;
if (Bits[ARM::ProcA9] && ARMProcFamily < CortexA9) ARMProcFamily = CortexA9;
if (Bits[ARM::ProcA12] && ARMProcFamily < CortexA12) ARMProcFamily = CortexA12;
if (Bits[ARM::ProcA15] && ARMProcFamily < CortexA15) ARMProcFamily = CortexA15;
if (Bits[ARM::ProcA17] && ARMProcFamily < CortexA17) ARMProcFamily = CortexA17;
if (Bits[ARM::ProcA32] && ARMProcFamily < CortexA32) ARMProcFamily = CortexA32;
if (Bits[ARM::ProcA35] && ARMProcFamily < CortexA35) ARMProcFamily = CortexA35;
if (Bits[ARM::ProcA53] && ARMProcFamily < CortexA53) ARMProcFamily = CortexA53;
if (Bits[ARM::ProcA55] && ARMProcFamily < CortexA55) ARMProcFamily = CortexA55;
if (Bits[ARM::ProcA57] && ARMProcFamily < CortexA57) ARMProcFamily = CortexA57;
if (Bits[ARM::ProcA72] && ARMProcFamily < CortexA72) ARMProcFamily = CortexA72;
if (Bits[ARM::ProcA73] && ARMProcFamily < CortexA73) ARMProcFamily = CortexA73;
if (Bits[ARM::ProcA75] && ARMProcFamily < CortexA75) ARMProcFamily = CortexA75;
if (Bits[ARM::ProcA76] && ARMProcFamily < CortexA76) ARMProcFamily = CortexA76;
if (Bits[ARM::ProcExynos] && ARMProcFamily < Exynos) ARMProcFamily = Exynos;
if (Bits[ARM::ProcKrait] && ARMProcFamily < Krait) ARMProcFamily = Krait;
if (Bits[ARM::ProcKryo] && ARMProcFamily < Kryo) ARMProcFamily = Kryo;
if (Bits[ARM::ProcM3] && ARMProcFamily < CortexM3) ARMProcFamily = CortexM3;
if (Bits[ARM::ProcR4] && ARMProcFamily < CortexR4) ARMProcFamily = CortexR4;
if (Bits[ARM::ProcR5] && ARMProcFamily < CortexR5) ARMProcFamily = CortexR5;
if (Bits[ARM::ProcR7] && ARMProcFamily < CortexR7) ARMProcFamily = CortexR7;
if (Bits[ARM::ProcR52] && ARMProcFamily < CortexR52) ARMProcFamily = CortexR52;
if (Bits[ARM::ProcSwift] && ARMProcFamily < Swift) ARMProcFamily = Swift;
if (Bits[ARM::XScale] && ARMArch < ARMv5te) ARMArch = ARMv5te;
}
#endif // GET_SUBTARGETINFO_TARGET_DESC
#ifdef GET_SUBTARGETINFO_HEADER
#undef GET_SUBTARGETINFO_HEADER
namespace llvm {
class DFAPacketizer;
namespace ARM_MC {
unsigned resolveVariantSchedClassImpl(unsigned SchedClass, const MCInst *MI, unsigned CPUID);
} // end namespace ARM_MC
struct ARMGenSubtargetInfo : public TargetSubtargetInfo {
explicit ARMGenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS);
public:
unsigned resolveSchedClass(unsigned SchedClass, const MachineInstr *DefMI, const TargetSchedModel *SchedModel) const override;
unsigned resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const override;
DFAPacketizer *createDFAPacketizer(const InstrItineraryData *IID) const;
};
} // end namespace llvm
#endif // GET_SUBTARGETINFO_HEADER
#ifdef GET_SUBTARGETINFO_CTOR
#undef GET_SUBTARGETINFO_CTOR
#include "llvm/CodeGen/TargetSchedule.h"
namespace llvm {
extern const llvm::SubtargetFeatureKV ARMFeatureKV[];
extern const llvm::SubtargetSubTypeKV ARMSubTypeKV[];
extern const llvm::MCWriteProcResEntry ARMWriteProcResTable[];
extern const llvm::MCWriteLatencyEntry ARMWriteLatencyTable[];
extern const llvm::MCReadAdvanceEntry ARMReadAdvanceTable[];
extern const llvm::InstrStage ARMStages[];
extern const unsigned ARMOperandCycles[];
extern const unsigned ARMForwardingPaths[];
ARMGenSubtargetInfo::ARMGenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS)
: TargetSubtargetInfo(TT, CPU, FS, makeArrayRef(ARMFeatureKV, 175), makeArrayRef(ARMSubTypeKV, 81),
ARMWriteProcResTable, ARMWriteLatencyTable, ARMReadAdvanceTable,
ARMStages, ARMOperandCycles, ARMForwardingPaths) {}
unsigned ARMGenSubtargetInfo
::resolveSchedClass(unsigned SchedClass, const MachineInstr *MI, const TargetSchedModel *SchedModel) const {
const ARMBaseInstrInfo *TII =
static_cast<const ARMBaseInstrInfo*>(SchedModel->getInstrInfo());
(void)TII;
const ARMSubtarget *STI =
static_cast<const ARMSubtarget*>(SchedModel->getSubtargetInfo());
(void)STI;
switch (SchedClass) {
case 3: // IIC_iALUsr_WriteALUsi_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 4: // IIC_iALUsr_WriteALUSsr_ReadALUsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1046; // SwiftWriteP0ThreeCycleThreeUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 7: // IIC_iLoad_mBr
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1050; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1051; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1052; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1053; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1054; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1055; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1056; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1057; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if (TII->getNumLDMAddresses(*MI) == 0)
return 1058; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
}
break;
case 10: // IIC_iMAC32_WriteMAC32_ReadMUL_ReadMUL_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 26: // IIC_iMAC64_WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 34: // IIC_iALUsi_WriteALUsi_ReadALUsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1049; // A57Write_2cyc_1M_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if ((TII->isSwiftFastImmShift(MI))
&& (TII->isPredicated(*MI)))
return 1063; // SwiftWriteP01TwoCycle_anonymous_3147
if (TII->isPredicated(*MI))
return 1064; // WriteALU_anonymous_3147
return 1065; // WriteALU_NoReadAdvance
}
break;
case 36: // IIC_iALUsi_WriteALUsi_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 40: // IIC_iLoad_mu
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
break;
case 41: // IIC_iPop_Br_WriteBrL
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1050; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1051; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1052; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1053; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1054; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1055; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1056; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1057; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if (TII->getNumLDMAddresses(*MI) == 0)
return 1058; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
}
break;
case 42: // IIC_iALUsr_WriteALUsr_ReadALUsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1075; // SwiftWriteP01ThreeCycleTwoUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 45: // IIC_iBITsr_WriteALUsi_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 46: // IIC_iBITsr_WriteALUsr_ReadALUsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1075; // SwiftWriteP01ThreeCycleTwoUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 54: // IIC_iCMPsr_WriteCMPsi_ReadALU
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1076; // SwiftWriteP01OneCycle_ReadALU
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 55: // IIC_iCMPsr_WriteCMPsr_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1077; // A57Write_2cyc_1I_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 57: // IIC_iLoad_m
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1078; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1079; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1080; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1081; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1082; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1083; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1084; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1085; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1086; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
}
break;
case 71: // IIC_iBITsi_WriteALUsi_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 74: // IIC_iMAC16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 75: // WriteMAC32_ReadMUL_ReadMUL_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 76: // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadMAC_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 80: // IIC_iStore_m
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
break;
case 81: // IIC_iStore_mu
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
break;
case 89: // IIC_iEXTAr_WriteALUsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 90: // IIC_iEXTr_WriteALUsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1090; // A57Write_2cyc_1M
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1088; // SwiftWriteP01TwoCycle
return 1091; // WriteALU
}
break;
case 93: // IIC_iTSTsr_WriteCMPsi_ReadALU
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1076; // SwiftWriteP01OneCycle_ReadALU
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 94: // IIC_iTSTsr_WriteCMPsr_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1077; // A57Write_2cyc_1I_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 182: // IIC_fpLoad_mu
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1092; // A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1093; // (A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1094; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1095; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1096; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1097; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1098; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1099; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if ((TII->getNumLDMAddresses(*MI) == 0)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1093; // (A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1100; // A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1101; // (A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1102; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1103; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1104; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1105; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1106; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1107; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if ((TII->getNumLDMAddresses(*MI) == 0)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1108; // (A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
}
break;
case 183: // IIC_fpLoad_m
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1109; // (A9WriteIssue_A9WriteLfpOp)_A9WriteAdr
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1110; // A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1112; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1113; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1114; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1115; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1116; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1117; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1118; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1119; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1120; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1121; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1122; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1123; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1124; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1125; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
}
break;
case 280: // IIC_iCMPsi_WriteCMPsi_ReadALU_ReadALU
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1126; // SwiftWriteP01OneCycle_ReadALU_ReadALU
return 1127; // SwiftWriteP01TwoCycle_ReadALU_ReadALU
}
break;
case 283: // IIC_iCMPsi_WriteCMPsi
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1128; // SwiftWriteP01OneCycle
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 295: // IIC_iALUsir_WriteALUsi_ReadALU
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 296: // IIC_iMUL16_WriteMAC16_ReadMUL_ReadMUL_ReadMAC
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 312: // IIC_iTSTsi_WriteCMPsi
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1128; // SwiftWriteP01OneCycle
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 316: // IIC_iPop_WriteLd
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1078; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1079; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1080; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1081; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1082; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1083; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1084; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1085; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1086; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
}
break;
case 317: // IIC_iStore_m_WriteST
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
break;
case 323: // ANDrsi_ORRrsi_EORrsi_BICrsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 324: // ANDrsr_ORRrsr_EORrsr_BICrsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1075; // SwiftWriteP01ThreeCycleTwoUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 325: // MOVsra_flag_MOVsrl_flag
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 326: // MOVsr_MOVsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 327: // MVNsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 328: // MOVCCsi_MOVCCsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 334: // SEL
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1130; // (SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)
return 1131; // SwiftWriteP0OneCycle
}
break;
case 337: // MLAv5_MLA_MLS_SMMLA_SMMLAR_SMMLS_SMMLSR
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 340: // SMLAL_UMLALv5_UMLAL_UMAAL_SMLALv5_SMLALBB_SMLALBT_SMLALTB_SMLALTT
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 341: // SMLAD_SMLADX_SMLSD_SMLSDX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1134; // SwiftWriteP0P01FiveCycleTwoUops
return 1135; // SwiftWriteP0FourCycle
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 342: // SMLALD_SMLSLD
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 346: // SMLABB_SMLABT_SMLATB_SMLATT_SMLAWB_SMLAWT
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 348: // LDRrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
if (TII->isLdstSoMinusReg(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
return 1137; // A57Write_4cyc_1L
}
break;
case 349: // LDRBi12_PICLDRH_PICLDRB_PICLDRSH_PICLDRSB_LDRH_LDRSH_LDRSB
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
return 1137; // A57Write_4cyc_1L
}
break;
case 351: // SXTB_SXTB16_SXTH_UXTB_UXTB16_UXTH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1090; // A57Write_2cyc_1M
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1088; // SwiftWriteP01TwoCycle
return 1091; // WriteALU
}
break;
case 353: // t2MOVCCi32imm
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 354: // t2MOVi32imm
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 355: // t2MOV_ga_pcrel
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 356: // t2MOVi16_ga_pcrel
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 357: // t2SEL
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1130; // (SwiftWriteP0OneCycle_SwiftWriteP0OneCycle)
return 1131; // SwiftWriteP0OneCycle
}
break;
case 363: // SADD8_SADD16_SSUB8_SSUB16_SASX_SSAX_UADD8_UADD16_USUB8_USUB16_UASX_USAX
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1138; // A57Write_5cyc_1I_1M
return 1139; // A57Write_3cyc_1I_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 364: // t2SADD8_t2SADD16_t2SSUB8_t2SSUB16_t2SASX_t2SSAX_t2UADD8_t2UADD16_t2USUB8_t2USUB16_t2UASX_t2USAX
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1138; // A57Write_5cyc_1I_1M
return 1139; // A57Write_3cyc_1I_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 366: // SXTAB_SXTAB16_SXTAH_UXTAB_UXTAB16_UXTAH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 374: // t2SMUSD_t2SMUSDX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 375: // t2MLA_t2MLS_t2SMMLA_t2SMMLAR_t2SMMLS_t2SMMLSR
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 376: // t2SMUAD_t2SMUADX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 377: // SMLSD_SMLSDX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 378: // t2SMLABB_t2SMLABT_t2SMLATB_t2SMLATT_t2SMLAWB_t2SMLAWT
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
if (TII->isPredicated(*MI))
return 1059; // SwiftWriteP0P01FiveCycleTwoUops_ReadMUL_ReadMUL_anonymous_3178
return 1060; // SwiftWriteP0FourCycle_ReadMUL_ReadMUL_ReadALU
}
break;
case 379: // t2SMLSD_t2SMLSDX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1132; // SwiftWriteP0P01FiveCycleTwoUops_ReadALU_ReadALU_anonymous_3178
return 1133; // SwiftWriteP0FourCycle_ReadALU_ReadALU_ReadALU
}
break;
case 380: // t2SMLAD_t2SMLADX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1134; // SwiftWriteP0P01FiveCycleTwoUops
return 1135; // SwiftWriteP0FourCycle
}
break;
case 383: // t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2UMLAL_t2SMLSLD_t2SMLSLDX_t2UMAAL
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
case 387: // LDRBrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
if (TII->isLdstSoMinusReg(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
return 1137; // A57Write_4cyc_1L
}
break;
case 396: // LDRH_PICLDRB_PICLDRH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 1))
return 1136; // A57Write_5cyc_1I_1L
return 1137; // A57Write_4cyc_1L
}
break;
case 402: // LDRBT_POST_IMM_LDRBT_POST_REG_LDRB_POST_REG_LDRB_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAm2ScaledReg(*MI, 1))
return 1140; // A57Write_4cyc_1I_1L_1M_A57WrBackThree
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 404: // LDRT_POST_IMM_LDRT_POST_REG_LDR_POST_REG_LDR_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAm2ScaledReg(*MI, 1))
return 1140; // A57Write_4cyc_1I_1L_1M_A57WrBackThree
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 414: // LDRD
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 2))
return 1142; // A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L
return 1143; // A57Write_4cyc_1L_A57Write_4cyc_1L
}
break;
case 415: // LDRD_POST_LDRD_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 3))
return 1144; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackTwo
return 1145; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 417: // LDMDA_LDMDB_LDMIA_LDMIB_t2LDMDB_t2LDMIA_sysLDMDA_sysLDMDB_sysLDMIA_sysLDMIB_tLDMIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1078; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1079; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1080; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1081; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1082; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1083; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1084; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1085; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1086; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1))
return 1178; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2))
return 1179; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3))
return 1180; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4))
return 1181; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5))
return 1182; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6))
return 1183; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7))
return 1184; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8))
return 1185; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1186; // A57Write_3cyc_1L_A57Write_3cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1187; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1188; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1189; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1190; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1191; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1192; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1162; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1163; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1164; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1165; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1166; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1167; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1168; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1169; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1170; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1171; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1172; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1173; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1174; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1175; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1176; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
return 1177; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1146; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 3)
return 1147; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 4)
return 1148; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 5)
return 1149; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 6)
return 1150; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 7)
return 1151; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 8)
return 1152; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 9)
return 1153; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 10)
return 1154; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 11)
return 1155; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 12)
return 1156; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 13)
return 1157; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 14)
return 1158; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 15)
return 1159; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 16)
return 1160; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB
return 1161; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB
}
break;
case 418: // LDMDA_UPD_LDMDB_UPD_LDMIA_UPD_LDMIB_UPD_tLDMIA_UPD_sysLDMDA_UPD_sysLDMDB_UPD_sysLDMIA_UPD_sysLDMIB_UPD_t2LDMDB_UPD_t2LDMIA_UPD
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1194; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy
if (TII->getNumLDMAddresses(*MI) == 3)
return 1195; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy
if (TII->getNumLDMAddresses(*MI) == 4)
return 1196; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy
if (TII->getNumLDMAddresses(*MI) == 5)
return 1197; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy
if (TII->getNumLDMAddresses(*MI) == 6)
return 1198; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy
if (TII->getNumLDMAddresses(*MI) == 7)
return 1199; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy
if (TII->getNumLDMAddresses(*MI) == 8)
return 1200; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy
if (TII->getNumLDMAddresses(*MI) == 9)
return 1201; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy
if (TII->getNumLDMAddresses(*MI) == 10)
return 1202; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy
if (TII->getNumLDMAddresses(*MI) == 11)
return 1203; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy
if (TII->getNumLDMAddresses(*MI) == 12)
return 1204; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy
if (TII->getNumLDMAddresses(*MI) == 13)
return 1205; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy
if (TII->getNumLDMAddresses(*MI) == 14)
return 1206; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy
if (TII->getNumLDMAddresses(*MI) == 15)
return 1207; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy
if (TII->getNumLDMAddresses(*MI) == 16)
return 1208; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy
return 1209; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo
}
break;
case 419: // LDMIA_RET_t2LDMIA_RET
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1050; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1051; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1052; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1053; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1054; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1055; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1056; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1057; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if (TII->getNumLDMAddresses(*MI) == 0)
return 1058; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1234; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 3)
return 1235; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 4)
return 1236; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 5)
return 1237; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 6)
return 1238; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 7)
return 1239; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 8)
return 1240; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 9)
return 1241; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 10)
return 1242; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 11)
return 1243; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 12)
return 1244; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 13)
return 1245; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 14)
return 1246; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 15)
return 1247; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 16)
return 1248; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 420: // tPOP_RET
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1050; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1051; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1052; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1053; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1054; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1055; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1056; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1057; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if (TII->getNumLDMAddresses(*MI) == 0)
return 1058; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1234; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 3)
return 1235; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 4)
return 1236; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 5)
return 1237; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 6)
return 1238; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 7)
return 1239; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 8)
return 1240; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 9)
return 1241; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 10)
return 1242; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 11)
return 1243; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 12)
return 1244; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 13)
return 1245; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 14)
return 1246; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 15)
return 1247; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 16)
return 1248; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 421: // tPOP
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1078; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1079; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1080; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1081; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1082; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1083; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1084; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1085; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1086; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1234; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 3)
return 1235; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 4)
return 1236; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 5)
return 1237; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 6)
return 1238; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 7)
return 1239; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 8)
return 1240; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 9)
return 1241; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 10)
return 1242; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 11)
return 1243; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 12)
return 1244; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 13)
return 1245; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 14)
return 1246; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 15)
return 1247; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 16)
return 1248; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 423: // PICSTRB_PICSTRH_STRBi12_STRH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 1))
return 1250; // A57Write_3cyc_1I_1S
return 1251; // A57Write_1cyc_1S
}
break;
case 424: // STRrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 1))
return 1250; // A57Write_3cyc_1I_1S
if (TII->isLdstSoMinusReg(*MI, 1))
return 1250; // A57Write_3cyc_1I_1S
return 1251; // A57Write_1cyc_1S
}
break;
case 425: // STRBrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 1))
return 1250; // A57Write_3cyc_1I_1S
if (TII->isLdstSoMinusReg(*MI, 1))
return 1250; // A57Write_3cyc_1I_1S
return 1251; // A57Write_1cyc_1S
}
break;
case 443: // STRD
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 2))
return 1250; // A57Write_3cyc_1I_1S
return 1251; // A57Write_1cyc_1S
}
break;
case 447: // STMDA_STMDB_STMIA_STMIB_sysSTMDA_sysSTMDB_sysSTMIA_sysSTMIB_t2STMDB_t2STMIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1251; // A57Write_1cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1282; // A57Write_2cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1283; // A57Write_3cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1284; // A57Write_4cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1285; // A57Write_5cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1286; // A57Write_6cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1287; // A57Write_7cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1288; // A57Write_8cyc_1S
return 1282; // A57Write_2cyc_1S
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 3)
return 1268; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 4)
return 1269; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 5)
return 1270; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 6)
return 1271; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 7)
return 1272; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 8)
return 1273; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1274; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 10)
return 1275; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 11)
return 1276; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 12)
return 1277; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 13)
return 1278; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 14)
return 1279; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 15)
return 1280; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 16)
return 1281; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 3)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 5)
return 1255; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1257; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1259; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1260; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1261; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1262; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1263; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1264; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1265; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1266; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 448: // STMDA_UPD_STMDB_UPD_STMIA_UPD_STMIB_UPD_sysSTMDA_UPD_sysSTMDB_UPD_sysSTMIA_UPD_sysSTMIB_UPD_t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1321; // A57WrBackOne_A57Write_3cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1322; // A57WrBackOne_A57Write_4cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1323; // A57WrBackOne_A57Write_5cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1324; // A57WrBackOne_A57Write_6cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1325; // A57WrBackOne_A57Write_7cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1326; // A57WrBackOne_A57Write_8cyc_1S_1I
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 3)
return 1305; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 4)
return 1306; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 5)
return 1307; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 6)
return 1308; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 7)
return 1309; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 8)
return 1310; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1311; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 10)
return 1312; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 11)
return 1313; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 12)
return 1314; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 13)
return 1315; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 14)
return 1316; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 15)
return 1317; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 16)
return 1318; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 3)
return 1290; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1291; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 5)
return 1292; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1293; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1294; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1295; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1296; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1297; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1298; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1299; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1300; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1301; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1302; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1303; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 449: // tPUSH
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1321; // A57WrBackOne_A57Write_3cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1322; // A57WrBackOne_A57Write_4cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1323; // A57WrBackOne_A57Write_5cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1324; // A57WrBackOne_A57Write_6cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1325; // A57WrBackOne_A57Write_7cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1326; // A57WrBackOne_A57Write_8cyc_1S_1I
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 3)
return 1305; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 4)
return 1306; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 5)
return 1307; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 6)
return 1308; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 7)
return 1309; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 8)
return 1310; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1311; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 10)
return 1312; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 11)
return 1313; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 12)
return 1314; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 13)
return 1315; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 14)
return 1316; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 15)
return 1317; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 16)
return 1318; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 3)
return 1290; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1291; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 5)
return 1292; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1293; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1294; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1295; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1296; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1297; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1298; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1299; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1300; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1301; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1302; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1303; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 515: // VCMPD_VCMPZD_VCMPED_VCMPEZD
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1327; // A57Write_6cyc_1V_1X
return 1328; // A57Write_3cyc_1X
}
break;
case 516: // VCMPS_VCMPZS_VCMPES_VCMPEZS
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1327; // A57Write_6cyc_1V_1X
return 1328; // A57Write_3cyc_1X
}
break;
case 533: // VMULslv2i32_VMULv2i32_VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32_VMULLsv2i64_VMULLuv2i64_VQDMULLv2i64
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 534: // VMULslv4i32_VMULv4i32_VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1330; // A57Write_5cyc_1W
return 1331; // A57Write_6cyc_1W
}
break;
case 538: // VMLALslsv2i32_VMLALsluv2i32_VMLALsv2i64_VMLALuv2i64_VMLAslv2i32_VMLAv2i32_VMLSLslsv2i32_VMLSLsluv2i32_VMLSLsv2i64_VMLSLuv2i64_VMLSslv2i32_VMLSv2i32_VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1332; // A57Write_4cyc_1W_anonymous_4022
return 1333; // A57Write_5cyc_1W_anonymous_4024
}
break;
case 539: // VMLALslsv4i16_VMLALsluv4i16_VMLALsv4i32_VMLALsv8i16_VMLALuv4i32_VMLALuv8i16_VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSLslsv4i16_VMLSLsluv4i16_VMLSLsv4i32_VMLSLsv8i16_VMLSLuv4i32_VMLSLuv8i16_VMLSslv4i16_VMLSv4i16_VMLSv8i8_VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1332; // A57Write_4cyc_1W_anonymous_4022
return 1333; // A57Write_5cyc_1W_anonymous_4024
}
break;
case 543: // VMLAslv4i32_VMLAv4i32_VMLSslv4i32_VMLSv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1334; // A57Write_5cyc_1W_anonymous_4017
return 1335; // A57Write_6cyc_1W_anonymous_4019
}
break;
case 544: // VMLAslv8i16_VMLAv16i8_VMLAv8i16_VMLSslv8i16_VMLSv16i8_VMLSv8i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1334; // A57Write_5cyc_1W_anonymous_4017
return 1335; // A57Write_6cyc_1W_anonymous_4019
}
break;
case 589: // VLDMQIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1109; // (A9WriteIssue_A9WriteLfpOp)_A9WriteAdr
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1110; // A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1112; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1113; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1114; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1115; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1116; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1117; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1118; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1119; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1120; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1121; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1122; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1123; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1124; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1125; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
}
break;
case 591: // VLDMDIA_VLDMSIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1109; // (A9WriteIssue_A9WriteLfpOp)_A9WriteAdr
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1110; // A9WriteLMfp1_A9WriteL1Hi_A9WriteAdr
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1112; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1113; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1114; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1115; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1116; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1117; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1111; // (A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1118; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1119; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1120; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1121; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1122; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1123; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1124; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)
if ((Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1125; // A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1))
return 1363; // A57Write_5cyc_1L_A57Write_6cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2))
return 1364; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3))
return 1365; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4))
return 1366; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5))
return 1367; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6))
return 1368; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7))
return 1369; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8))
return 1370; // A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_13cyc_1L_A57Write_14cyc_1L_A57Write_15cyc_1L_A57Write_16cyc_1L_A57Write_17cyc_1L_A57Write_18cyc_1L_A57Write_19cyc_1L_A57Write_20cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1371; // A57Write_5cyc_1L_A57Write_5cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1372; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1373; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1374; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1375; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1376; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1377; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1378; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L
return 1378; // A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L_A57Write_11cyc_1L_A57Write_11cyc_1L_A57Write_12cyc_1L_A57Write_12cyc_1L
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (MI->getNumOperands() == 1)
return 1353; // R52WriteLM5Cy_R52ReserveLd5Cy
if (MI->getNumOperands() == 2)
return 1353; // R52WriteLM5Cy_R52ReserveLd5Cy
if (MI->getNumOperands() == 3)
return 1354; // R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy
if (MI->getNumOperands() == 4)
return 1354; // R52WriteLM5Cy_R52WriteLM6Cy_R52ReserveLd6Cy
if (MI->getNumOperands() == 5)
return 1355; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd4Cy
if (MI->getNumOperands() == 6)
return 1356; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52ReserveLd7Cy
if (MI->getNumOperands() == 7)
return 1357; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy
if (MI->getNumOperands() == 8)
return 1357; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52ReserveLd8Cy
if (MI->getNumOperands() == 9)
return 1358; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy
if (MI->getNumOperands() == 10)
return 1358; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52ReserveLd9Cy
if (MI->getNumOperands() == 11)
return 1359; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy
if (MI->getNumOperands() == 12)
return 1359; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52ReserveLd10Cy
if (MI->getNumOperands() == 13)
return 1360; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy
if (MI->getNumOperands() == 14)
return 1360; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52ReserveLd11Cy
if (MI->getNumOperands() == 14)
return 1361; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy
if (MI->getNumOperands() == 15)
return 1361; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd12Cy
return 1362; // R52WriteLM5Cy_R52WriteLM6Cy_R52WriteLM7Cy_R52WriteLM8Cy_R52WriteLM9Cy_R52WriteLM10Cy_R52WriteLM11Cy_R52WriteLM12Cy_R52ReserveLd5Cy
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1336; // SwiftWriteLM4Cy
if (TII->getNumLDMAddresses(*MI) == 2)
return 1337; // SwiftWriteLM4Cy_SwiftWriteLM4CyNo
if (TII->getNumLDMAddresses(*MI) == 3)
return 1338; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3
if (TII->getNumLDMAddresses(*MI) == 4)
return 1339; // SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo
if (TII->getNumLDMAddresses(*MI) == 5)
return 1340; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 6)
return 1341; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 7)
return 1342; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7
if (TII->getNumLDMAddresses(*MI) == 8)
return 1343; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1344; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 10)
return 1345; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 11)
return 1346; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 12)
return 1347; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3
if (TII->getNumLDMAddresses(*MI) == 13)
return 1348; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 14)
return 1349; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7
if (TII->getNumLDMAddresses(*MI) == 15)
return 1350; // SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 16)
return 1351; // SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4
return 1352; // SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
}
break;
case 592: // VLDMDDB_UPD_VLDMDIA_UPD_VLDMSDB_UPD_VLDMSIA_UPD
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1092; // A9WriteAdr_(A9WriteIssue_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1093; // (A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1094; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1095; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1096; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1097; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1098; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1099; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp_A9WriteLfpOp)
if ((TII->getNumLDMAddresses(*MI) == 0)
&& (Register::isVirtualRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1093; // (A9WriteAdr_A9WriteAdr)_(A9WriteIssue_A9WriteLfpOp_A9WriteLfpOp)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 1))
return 1100; // A9WriteAdr_A9WriteLMfp1_A9WriteL1Hi
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 2))
return 1101; // (A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 3))
return 1102; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 4))
return 1103; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 5))
return 1104; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 6))
return 1105; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 7))
return 1106; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if (((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& ((TII->getNumLDMAddresses(*MI)+1)/2 == 8))
return 1107; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
if ((TII->getNumLDMAddresses(*MI) == 0)
&& (Register::isPhysicalRegister(MI->getOperand(0).getReg()))
&& (TII->getNumLDMAddresses(*MI) == 0))
return 1108; // (A9WriteAdr_A9WriteAdr)_A9WriteLMfp1_(A9WriteLMfpLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1))
return 1396; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2))
return 1397; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3))
return 1398; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4))
return 1399; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5))
return 1400; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6))
return 1401; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7))
return 1402; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I
if ((TII->isPredicated(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8))
return 1403; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_13cyc_1L_1I_A57Write_14cyc_1L_1I_A57Write_15cyc_1L_1I_A57Write_16cyc_1L_1I_A57Write_17cyc_1L_1I_A57Write_18cyc_1L_1I_A57Write_19cyc_1L_1I_A57Write_20cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1404; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1405; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1406; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1407; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1408; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1409; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1410; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1411; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I
return 1411; // A57WrBackOne_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_12cyc_1L_1I_A57Write_12cyc_1L_1I
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1379; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy
if (TII->getNumLDMAddresses(*MI) == 2)
return 1380; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo
if (TII->getNumLDMAddresses(*MI) == 3)
return 1381; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3
if (TII->getNumLDMAddresses(*MI) == 4)
return 1382; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM4Cy_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo_SwiftWriteLM4CyNo
if (TII->getNumLDMAddresses(*MI) == 5)
return 1383; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 6)
return 1384; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 7)
return 1385; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7
if (TII->getNumLDMAddresses(*MI) == 8)
return 1386; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1387; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 10)
return 1388; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm5
if (TII->getNumLDMAddresses(*MI) == 11)
return 1389; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 12)
return 1390; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM11Cy_SwiftWriteLM11Cy_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteLM11CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm3
if (TII->getNumLDMAddresses(*MI) == 13)
return 1391; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 14)
return 1392; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM10Cy_SwiftWriteLM14Cy_SwiftWriteLM14Cy_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteLM14CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm7
if (TII->getNumLDMAddresses(*MI) == 15)
return 1393; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM17Cy_SwiftWriteLM18CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM21CyNo_SwiftWriteLM22CyNo_SwiftWriteLM25CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm9
if (TII->getNumLDMAddresses(*MI) == 16)
return 1394; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM18CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteLM19CyNo_SwiftWriteLM22CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm4
return 1395; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM13Cy_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteLM13CyNo_SwiftWriteP01OneCycle_SwiftVLDMPerm2
}
break;
case 593: // VSTMDIA_VSTMSIA
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1282; // A57Write_2cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1284; // A57Write_4cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1286; // A57Write_6cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1288; // A57Write_8cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1413; // A57Write_10cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1414; // A57Write_12cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1415; // A57Write_14cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1416; // A57Write_16cyc_1S
return 1284; // A57Write_4cyc_1S
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 2)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 3)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 5)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1260; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1262; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1264; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1266; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1255; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 594: // VSTMDDB_UPD_VSTMDIA_UPD_VSTMSDB_UPD_VSTMSIA_UPD
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1322; // A57WrBackOne_A57Write_4cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1324; // A57WrBackOne_A57Write_6cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1326; // A57WrBackOne_A57Write_8cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1427; // A57WrBackOne_A57Write_10cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1428; // A57WrBackOne_A57Write_12cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1429; // A57WrBackOne_A57Write_14cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1430; // A57WrBackOne_A57Write_16cyc_1S_1I
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 2)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 3)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 5)
return 1419; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1420; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1422; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1419; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1423; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1424; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1420; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1425; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1426; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 678: // t2MOVCCi_t2MOVCCi16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 679: // t2MOVi_t2MOVi16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 686: // MOVsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 687: // t2MOVSsr_t2MOVsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 688: // t2MOVsra_flag_t2MOVsrl_flag
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 689: // MOVTi16_ga_pcrel_MOVTi16_t2MOVTi16_ga_pcrel_t2MOVTi16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1129; // A57Write_1cyc_1I
return 1090; // A57Write_2cyc_1M
}
break;
case 696: // t2MVNs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 700: // ADDSrsi_ADCrsi_ADDrsi_RSBrsi_RSCrsi_SBCrsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 701: // t2ADDSrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1049; // A57Write_2cyc_1M_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if ((TII->isSwiftFastImmShift(MI))
&& (TII->isPredicated(*MI)))
return 1063; // SwiftWriteP01TwoCycle_anonymous_3147
if (TII->isPredicated(*MI))
return 1064; // WriteALU_anonymous_3147
return 1065; // WriteALU_NoReadAdvance
}
break;
case 702: // t2ADCrs_t2ADDrs_t2SBCrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 703: // t2ANDrs_t2BICrs_t2EORrs_t2ORRrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 704: // t2RSBrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1045; // A57Write_2cyc_1M_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1043; // SwiftWriteP01TwoCycle_ReadALU
return 1044; // WriteALU_ReadALU
}
break;
case 705: // ADDSrsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1046; // SwiftWriteP0ThreeCycleThreeUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 706: // ADCrsr_ADDrsr_RSBrsr_RSCrsr_SBCrsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1048; // A57Write_2cyc_1I_ReadDefault
return 1049; // A57Write_2cyc_1M_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1075; // SwiftWriteP01ThreeCycleTwoUops_anonymous_3147
return 1047; // SwiftWriteP01TwoCycle_NoReadAdvance
}
break;
case 709: // MVNsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 710: // t2MOVSsi_t2MOVsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 711: // ASRi_RORi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 712: // ASRr_RORr_LSRi_LSRr_LSLi_LSLr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 715: // CMPrsi_CMNzrsi
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1076; // SwiftWriteP01OneCycle_ReadALU
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 716: // CMPrsr_CMNzrsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1077; // A57Write_2cyc_1I_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 717: // t2LDC2L_OFFSET_t2LDC2L_OPTION_t2LDC2L_POST_t2LDC2L_PRE_t2LDC2_OFFSET_t2LDC2_OPTION_t2LDC2_POST_t2LDC2_PRE_t2LDCL_OFFSET_t2LDCL_OPTION_t2LDCL_POST_t2LDCL_PRE_t2LDC_OFFSET_t2LDC_OPTION_t2LDC_POST_t2LDC_PRE_RRXi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 719: // RRX
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 722: // TSTrsi
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1076; // SwiftWriteP01OneCycle_ReadALU
return 1043; // SwiftWriteP01TwoCycle_ReadALU
}
break;
case 723: // TSTrsr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1077; // A57Write_2cyc_1I_ReadALU
return 1045; // A57Write_2cyc_1M_ReadALU
}
break;
case 768: // VCMPEH_VCMPEZH_VCMPH_VCMPZH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1327; // A57Write_6cyc_1V_1X
return 1328; // A57Write_3cyc_1X
}
break;
case 787: // VQDMLALslv2i32_VQDMLALv2i64_VQDMLSLslv2i32_VQDMLSLv2i64
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 788: // VQDMLALslv4i16_VQDMLALv4i32_VQDMLSLslv4i16_VQDMLSLv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 789: // VQDMULHslv2i32_VQDMULHv2i32_VQDMULLv2i64_VQRDMULHslv2i32_VQRDMULHv2i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 790: // VQDMULHslv4i16_VQDMULHv4i16_VQDMULLslv2i32_VQDMULLslv4i16_VQDMULLv4i32_VQRDMULHslv4i16_VQRDMULHv4i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 791: // VQDMULHslv4i32_VQDMULHv4i32_VQRDMULHslv4i32_VQRDMULHv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1330; // A57Write_5cyc_1W
return 1331; // A57Write_6cyc_1W
}
break;
case 792: // VQDMULHslv8i16_VQDMULHv8i16_VQRDMULHslv8i16_VQRDMULHv8i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1330; // A57Write_5cyc_1W
return 1331; // A57Write_6cyc_1W
}
break;
case 871: // MOVCCsi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 872: // t2ASRri_tASRri_t2LSRri_tLSRri_t2LSLri_tLSLri_t2RORri_t2RRX
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 873: // LSRi_LSLi
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 874: // t2MOVCCasr_t2MOVCClsl_t2MOVCClsr_t2MOVCCror
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 875: // t2MOVCCr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 876: // t2MOVTi16_ga_pcrel_t2MOVTi16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 877: // t2MOVr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 878: // tROR
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
return 1129; // A57Write_1cyc_1I
}
break;
case 879: // t2ASRrr_tASRrr_t2LSRrr_tLSRrr_t2LSLrr_tLSLrr_t2RORrr
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isCPSRDefined(*MI) && TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
if (TII->isCPSRDefined(*MI))
return 1090; // A57Write_2cyc_1M
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1129; // A57Write_1cyc_1I
}
break;
case 882: // SADD16_SADD8_SSUB16_SSUB8_UADD16_UADD8_USUB16_USUB8
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1433; // A57Write_4cyc_1I_1M
return 1434; // A57Write_2cyc_1I_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 883: // t2SADD16_t2SADD8_t2SSUB16_t2SSUB8_t2UADD16_t2UADD8_t2USUB16_t2USUB8
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1433; // A57Write_4cyc_1I_1M
return 1434; // A57Write_2cyc_1I_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 894: // SXTB_SXTH_UXTB_UXTH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1090; // A57Write_2cyc_1M
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isSwiftFastImmShift(MI))
return 1088; // SwiftWriteP01TwoCycle
return 1091; // WriteALU
}
break;
case 897: // SXTAB_SXTAH_UXTAB_UXTAH
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isPredicated(*MI))
return 1089; // A57Write_2cyc_1I
return 1090; // A57Write_2cyc_1M
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1087; // SwiftWriteP01ThreeCycleTwoUops
return 1088; // SwiftWriteP01TwoCycle
}
break;
case 909: // LDR_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 2))
return 1435; // A57Write_5cyc_1I_1L_A57WrBackTwo
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 910: // LDRB_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 2))
return 1435; // A57Write_5cyc_1I_1L_A57WrBackTwo
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
}
break;
case 911: // LDRH_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 2))
return 1436; // A57Write_4cyc_1L_A57WrBackTwo
return 1437; // A57Write_4cyc_1L_A57WrBackOne
}
break;
case 912: // LDRSB_PRE_LDRSH_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 2))
return 1436; // A57Write_4cyc_1L_A57WrBackTwo
return 1437; // A57Write_4cyc_1L_A57WrBackOne
}
break;
case 916: // LDRD_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 3))
return 1438; // A57Write_5cyc_1I_1L_A57Write_5cyc_1I_1L_A57WrBackTwo
return 1145; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 923: // LDRH_POST
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 1))
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
return 1439; // A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 924: // LDRSB_POST_LDRSH_POST
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (!TII->isAddrMode3OpImm(*MI, 1))
return 1141; // A57Write_4cyc_1L_1I_A57WrBackTwo
return 1439; // A57Write_4cyc_1L_1I_A57WrBackOne
}
break;
case 929: // PLDrs_PLDWrs
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 0))
return 1136; // A57Write_5cyc_1I_1L
if (TII->isLdstSoMinusReg(*MI, 0))
return 1136; // A57Write_5cyc_1I_1L
return 1137; // A57Write_4cyc_1L
}
break;
case 936: // STRH_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 2))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 940: // STR_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isLdstScaledReg(*MI, 2))
&& (TII->isLdstScaledRegNotPlusLsl2(*MI, 2)))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
if ((TII->isLdstSoMinusReg(*MI, 2))
&& (TII->isLdstScaledRegNotPlusLsl2(*MI, 2)))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 2))
return 1441; // A57WrBackOne_A57Write_3cyc_1I_1S
if (TII->isLdstScaledReg(*MI, 2))
return 1442; // A57WrBackTwo_A57Write_1cyc_1S_1M
if (TII->isLdstSoMinusReg(*MI, 2))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 941: // STRB_PRE_REG
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isLdstScaledReg(*MI, 2))
&& (TII->isLdstScaledRegNotPlusLsl2(*MI, 2)))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
if ((TII->isLdstSoMinusReg(*MI, 2))
&& (TII->isLdstScaledRegNotPlusLsl2(*MI, 2)))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
if (TII->isLdstScaledRegNotPlusLsl2(*MI, 2))
return 1441; // A57WrBackOne_A57Write_3cyc_1I_1S
if (TII->isLdstScaledReg(*MI, 2))
return 1442; // A57WrBackTwo_A57Write_1cyc_1S_1M
if (TII->isLdstSoMinusReg(*MI, 2))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 942: // STRD_PRE
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (TII->isAddrMode3OpMinusReg(*MI, 3))
return 1440; // A57WrBackTwo_A57Write_3cyc_1I_1S
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
}
break;
case 960: // VSTMSIA
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1251; // A57Write_1cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1282; // A57Write_2cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1283; // A57Write_3cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1284; // A57Write_4cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1285; // A57Write_5cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1286; // A57Write_6cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1287; // A57Write_7cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1288; // A57Write_8cyc_1S
return 1282; // A57Write_2cyc_1S
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 2)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 3)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1412; // SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 5)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1260; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1262; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1264; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1266; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1255; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 961: // VSTMSDB_UPD_VSTMSIA_UPD
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1321; // A57WrBackOne_A57Write_3cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1322; // A57WrBackOne_A57Write_4cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1323; // A57WrBackOne_A57Write_5cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1324; // A57WrBackOne_A57Write_6cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1325; // A57WrBackOne_A57Write_7cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1326; // A57WrBackOne_A57Write_8cyc_1S_1I
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 1)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 2)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 3)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1417; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_SwiftWriteStIncAddr
if (TII->getNumLDMAddresses(*MI) == 5)
return 1419; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1420; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1422; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1419; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1423; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1418; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1424; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1420; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1425; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1426; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1421; // (SwiftWriteP01OneCycle_SwiftWriteP01OneCycle)_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 965: // VMULpd_VMULv4i16_VMULv8i8_VMULslv4i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 966: // VMULv2i32_VMULslv2i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 967: // VQDMULHslv2i32_VQDMULHv2i32_VQRDMULHslv2i32_VQRDMULHv2i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 968: // VQDMULHslv4i16_VQDMULHv4i16_VQRDMULHslv4i16_VQRDMULHv4i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 969: // VMULpq_VMULv16i8_VMULv8i16_VMULslv8i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1330; // A57Write_5cyc_1W
return 1331; // A57Write_6cyc_1W
}
break;
case 970: // VMLAslv2i32_VMLAv2i32_VMLSslv2i32_VMLSv2i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1443; // A57Write_4cyc_1W_anonymous_4012
return 1444; // A57Write_5cyc_1W_anonymous_4014
}
break;
case 971: // VMLAslv4i16_VMLAv4i16_VMLAv8i8_VMLSslv4i16_VMLSv4i16_VMLSv8i8
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1443; // A57Write_4cyc_1W_anonymous_4012
return 1444; // A57Write_5cyc_1W_anonymous_4014
}
break;
case 972: // VQRDMLAHslv2i32_VQRDMLAHv2i32_VQRDMLSHslv2i32_VQRDMLSHv2i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 973: // VQRDMLAHslv4i16_VQRDMLAHv4i16_VQRDMLSHslv4i16_VQRDMLSHv4i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 974: // VQRDMLAHslv4i32_VQRDMLAHv4i32_VQRDMLSHslv4i32_VQRDMLSHv4i32
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 975: // VQRDMLAHslv8i16_VQRDMLAHv8i16_VQRDMLSHslv8i16_VQRDMLSHv8i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1431; // A57Write_4cyc_1W_anonymous_4027
return 1432; // A57Write_5cyc_1W_anonymous_4029
}
break;
case 976: // VMULLp8_VMULLslsv2i32_VMULLslsv4i16_VMULLsluv2i32_VMULLsluv4i16_VMULLsv4i32_VMULLsv8i16_VMULLuv4i32_VMULLuv8i16
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if (false)
return 1329; // A57Write_4cyc_1W
return 1330; // A57Write_5cyc_1W
}
break;
case 1007: // t2LDMIA_RET
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1050; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1051; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1052; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1053; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1054; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1055; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1056; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1057; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteB
if (TII->getNumLDMAddresses(*MI) == 0)
return 1058; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteB
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1234; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 3)
return 1235; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 4)
return 1236; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 5)
return 1237; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 6)
return 1238; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 7)
return 1239; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 8)
return 1240; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 9)
return 1241; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 10)
return 1242; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 11)
return 1243; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 12)
return 1244; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 13)
return 1245; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 14)
return 1246; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 15)
return 1247; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteP1TwoCycle
if (TII->getNumLDMAddresses(*MI) == 16)
return 1248; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteP1TwoCycle
return 1249; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteP1TwoCycle
}
break;
case 1008: // tLDMIA_UPD_t2LDMDB_UPD_t2LDMIA_UPD
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1226; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1227; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1228; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1229; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1230; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1231; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1232; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
return 1233; // A57WrBackOne_A57Write_3cyc_1L_1I_A57Write_3cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1210; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1211; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1212; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1213; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1214; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1215; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1216; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1217; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1218; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1219; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1220; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1221; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1222; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1223; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteAdr_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1224; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
return 1225; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52WriteAdr_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1194; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy
if (TII->getNumLDMAddresses(*MI) == 3)
return 1195; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy
if (TII->getNumLDMAddresses(*MI) == 4)
return 1196; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy
if (TII->getNumLDMAddresses(*MI) == 5)
return 1197; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy
if (TII->getNumLDMAddresses(*MI) == 6)
return 1198; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy
if (TII->getNumLDMAddresses(*MI) == 7)
return 1199; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy
if (TII->getNumLDMAddresses(*MI) == 8)
return 1200; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy
if (TII->getNumLDMAddresses(*MI) == 9)
return 1201; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy
if (TII->getNumLDMAddresses(*MI) == 10)
return 1202; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy
if (TII->getNumLDMAddresses(*MI) == 11)
return 1203; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy
if (TII->getNumLDMAddresses(*MI) == 12)
return 1204; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy
if (TII->getNumLDMAddresses(*MI) == 13)
return 1205; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy
if (TII->getNumLDMAddresses(*MI) == 14)
return 1206; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy
if (TII->getNumLDMAddresses(*MI) == 15)
return 1207; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy
if (TII->getNumLDMAddresses(*MI) == 16)
return 1208; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy
return 1209; // SwiftWriteLDMAddrWB_SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo
}
break;
case 1009: // t2LDMDB_t2LDMIA_tLDMIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1078; // A9WriteL1_A9WriteL1Hi_A9WriteAdr_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1079; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1080; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1081; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1082; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1083; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1084; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1085; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1086; // A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteAdr_A9WriteAdr)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1))
return 1178; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2))
return 1179; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3))
return 1180; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4))
return 1181; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5))
return 1182; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6))
return 1183; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7))
return 1184; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I
if ((TII->isLDMBaseRegInList(*MI))
&& ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8))
return 1185; // A57Write_4cyc_1L_1I_A57Write_4cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_5cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_6cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_7cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_8cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_9cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_10cyc_1L_1I_A57Write_11cyc_1L_1I_A57Write_11cyc_1L_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1186; // A57Write_3cyc_1L_A57Write_3cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1187; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1188; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1189; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1190; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1191; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1192; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
return 1193; // A57Write_3cyc_1L_A57Write_3cyc_1L_A57Write_4cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_1L_A57Write_5cyc_1L_A57Write_6cyc_1L_A57Write_6cyc_1L_A57Write_7cyc_1L_A57Write_7cyc_1L_A57Write_8cyc_1L_A57Write_8cyc_1L_A57Write_9cyc_1L_A57Write_9cyc_1L_A57Write_10cyc_1L_A57Write_10cyc_1L
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1162; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 3)
return 1163; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 4)
return 1164; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 5)
return 1165; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 6)
return 1166; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 7)
return 1167; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 8)
return 1168; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 9)
return 1169; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 10)
return 1170; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 11)
return 1171; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 12)
return 1172; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 13)
return 1173; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 14)
return 1174; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1175; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52Read_ISS
if (TII->getNumLDMAddresses(*MI) == 15)
return 1176; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6Cy_R52WriteILDM7Cy_R52WriteILDM8Cy_R52WriteILDM9Cy_R52WriteILDM10Cy_R52WriteILDM11Cy_R52WriteILDM12Cy_R52WriteILDM13Cy_R52WriteILDM14Cy_R52WriteILDM15Cy_R52WriteILDM16Cy_R52WriteILDM17Cy_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
return 1177; // R52WriteILDM4Cy_R52WriteILDM5Cy_R52WriteILDM6CyNo_R52WriteILDM7CyNo_R52WriteILDM8CyNo_R52WriteILDM9CyNo_R52WriteILDM10CyNo_R52WriteILDM11CyNo_R52WriteILDM12CyNo_R52WriteILDM13CyNo_R52WriteILDM14CyNo_R52WriteILDM15CyNo_R52WriteILDM16CyNo_R52WriteILDM17CyNo_R52WriteILDM18Cy_R52WriteILDM19Cy_R52Read_ISS
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1146; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 3)
return 1147; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 4)
return 1148; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 5)
return 1149; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 6)
return 1150; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 7)
return 1151; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 8)
return 1152; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 9)
return 1153; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 10)
return 1154; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 11)
return 1155; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 12)
return 1156; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 13)
return 1157; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 14)
return 1158; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 15)
return 1159; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLDMAddrNoWB
if (TII->getNumLDMAddresses(*MI) == 16)
return 1160; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5Cy_SwiftWriteLM6Cy_SwiftWriteLM7Cy_SwiftWriteLM8Cy_SwiftWriteLM9Cy_SwiftWriteLM10Cy_SwiftWriteLM11Cy_SwiftWriteLM12Cy_SwiftWriteLM13Cy_SwiftWriteLM14Cy_SwiftWriteLM15Cy_SwiftWriteLM16Cy_SwiftWriteLM17Cy_SwiftWriteLM18Cy_SwiftWriteLDMAddrNoWB
return 1161; // SwiftWriteLM3Cy_SwiftWriteLM4Cy_SwiftWriteLM5CyNo_SwiftWriteLM6CyNo_SwiftWriteLM7CyNo_SwiftWriteLM8CyNo_SwiftWriteLM9CyNo_SwiftWriteLM10CyNo_SwiftWriteLM11CyNo_SwiftWriteLM12CyNo_SwiftWriteLM13CyNo_SwiftWriteLM14CyNo_SwiftWriteLM15CyNo_SwiftWriteLM16CyNo_SwiftWriteLM17CyNo_SwiftWriteLM18CyNo_SwiftWriteLDMAddrNoWB
}
break;
case 1014: // t2STMDB_t2STMIA
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1251; // A57Write_1cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1282; // A57Write_2cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1283; // A57Write_3cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1284; // A57Write_4cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1285; // A57Write_5cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1286; // A57Write_6cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1287; // A57Write_7cyc_1S
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1288; // A57Write_8cyc_1S
return 1282; // A57Write_2cyc_1S
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 3)
return 1268; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 4)
return 1269; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 5)
return 1270; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 6)
return 1271; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 7)
return 1272; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 8)
return 1273; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1274; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 10)
return 1275; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 11)
return 1276; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 12)
return 1277; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 13)
return 1278; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 14)
return 1279; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 15)
return 1280; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 16)
return 1281; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
return 1267; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52Read_ISS_R52Read_EX2
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 3)
return 1253; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1254; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 5)
return 1255; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1256; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1257; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1258; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1259; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1260; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1261; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1262; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1263; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1264; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1265; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1266; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1252; // (SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 1015: // t2STMDB_UPD_t2STMIA_UPD_tSTMIA_UPD
if (SchedModel->getProcessorID() == 2) { // CortexA9Model
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 1)
return 1066; // A9WriteAdr_A9WriteL1_A9WriteL1Hi_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 2)
return 1067; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 3)
return 1068; // (A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 4)
return 1069; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 5)
return 1070; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 6)
return 1071; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 7)
return 1072; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if ((TII->getNumLDMAddresses(*MI)+1)/2 == 8)
return 1073; // (A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
if (TII->getNumLDMAddresses(*MI) == 0)
return 1074; // (A9WriteAdr_A9WriteAdr)_A9WriteL1_A9WriteL1Hi_(A9WriteLMLo_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_(A9WriteLMHi_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1_A9WriteCycle1)_A9WriteIssue
}
if (SchedModel->getProcessorID() == 4) { // CortexA57Model
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 1)
return 1319; // A57WrBackOne_A57Write_1cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 2)
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 3)
return 1321; // A57WrBackOne_A57Write_3cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 4)
return 1322; // A57WrBackOne_A57Write_4cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 5)
return 1323; // A57WrBackOne_A57Write_5cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 6)
return 1324; // A57WrBackOne_A57Write_6cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 7)
return 1325; // A57WrBackOne_A57Write_7cyc_1S_1I
if ((TII->getLDMVariableDefsSize(*MI)+1)/2 == 8)
return 1326; // A57WrBackOne_A57Write_8cyc_1S_1I
return 1320; // A57WrBackOne_A57Write_2cyc_1S_1I
}
if (SchedModel->getProcessorID() == 6) { // CortexR52Model
if (TII->getNumLDMAddresses(*MI) == 2)
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 3)
return 1305; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 4)
return 1306; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 5)
return 1307; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 6)
return 1308; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 7)
return 1309; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 8)
return 1310; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 9)
return 1311; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 10)
return 1312; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 11)
return 1313; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 12)
return 1314; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 13)
return 1315; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 14)
return 1316; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 15)
return 1317; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
if (TII->getNumLDMAddresses(*MI) == 16)
return 1318; // (R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
return 1304; // (R52WriteIStIncAddr_R52WriteIStIncAddr)_R52WriteAdr_R52Read_ISS_R52Read_EX2
}
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->getNumLDMAddresses(*MI) == 2)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 3)
return 1290; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 4)
return 1291; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 5)
return 1292; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 6)
return 1293; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 7)
return 1294; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 8)
return 1295; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 9)
return 1296; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 10)
return 1297; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 11)
return 1298; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 12)
return 1299; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 13)
return 1300; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 14)
return 1301; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 15)
return 1302; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
if (TII->getNumLDMAddresses(*MI) == 16)
return 1303; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr_SwiftWriteStIncAddr)
return 1289; // SwiftWriteP01OneCycle_(SwiftWriteStIncAddr_SwiftWriteStIncAddr)
}
break;
case 1020: // t2SMLAL_t2SMLALBB_t2SMLALBT_t2SMLALD_t2SMLALDX_t2SMLALTB_t2SMLALTT_t2SMLSLD_t2SMLSLDX
if (SchedModel->getProcessorID() == 7) { // SwiftModel
if (TII->isPredicated(*MI))
return 1061; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_anonymous_3178_anonymous_3178
return 1062; // WriteMAC64Lo_WriteMAC64Hi_ReadMUL_ReadMUL_ReadALU_ReadALU
}
break;
};
report_fatal_error("Expected a variant SchedClass");
} // ARMGenSubtargetInfo::resolveSchedClass
unsigned ARMGenSubtargetInfo
::resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const {
return ARM_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
} // ARMGenSubtargetInfo::resolveVariantSchedClass
} // end namespace llvm
#endif // GET_SUBTARGETINFO_CTOR
#ifdef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#undef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#endif // GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#ifdef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
#undef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
#endif // GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS