blob: 97b3f61a68ff47fde977fec8a744f042bf7b66fd [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|* Assembly Writer Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
/// printInstruction - This method is automatically generated by tablegen
/// from the instruction set description.
void ARMInstPrinter::printInstruction(const MCInst *MI, uint64_t Address, const MCSubtargetInfo &STI, raw_ostream &O) {
static const char AsmStrs[] = {
/* 0 */ 'v', 'l', 'd', '2', '0', '.', '3', '2', 9, 0,
/* 10 */ 'v', 's', 't', '2', '0', '.', '3', '2', 9, 0,
/* 20 */ 'v', 'l', 'd', '4', '0', '.', '3', '2', 9, 0,
/* 30 */ 'v', 's', 't', '4', '0', '.', '3', '2', 9, 0,
/* 40 */ 's', 'h', 'a', '1', 's', 'u', '0', '.', '3', '2', 9, 0,
/* 52 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '0', '.', '3', '2', 9, 0,
/* 66 */ 'v', 'l', 'd', '2', '1', '.', '3', '2', 9, 0,
/* 76 */ 'v', 's', 't', '2', '1', '.', '3', '2', 9, 0,
/* 86 */ 'v', 'l', 'd', '4', '1', '.', '3', '2', 9, 0,
/* 96 */ 'v', 's', 't', '4', '1', '.', '3', '2', 9, 0,
/* 106 */ 's', 'h', 'a', '1', 's', 'u', '1', '.', '3', '2', 9, 0,
/* 118 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '1', '.', '3', '2', 9, 0,
/* 132 */ 'v', 'l', 'd', '4', '2', '.', '3', '2', 9, 0,
/* 142 */ 'v', 's', 't', '4', '2', '.', '3', '2', 9, 0,
/* 152 */ 's', 'h', 'a', '2', '5', '6', 'h', '2', '.', '3', '2', 9, 0,
/* 165 */ 'v', 'l', 'd', '4', '3', '.', '3', '2', 9, 0,
/* 175 */ 'v', 's', 't', '4', '3', '.', '3', '2', 9, 0,
/* 185 */ 's', 'h', 'a', '1', 'c', '.', '3', '2', 9, 0,
/* 195 */ 's', 'h', 'a', '1', 'h', '.', '3', '2', 9, 0,
/* 205 */ 's', 'h', 'a', '2', '5', '6', 'h', '.', '3', '2', 9, 0,
/* 217 */ 's', 'h', 'a', '1', 'm', '.', '3', '2', 9, 0,
/* 227 */ 's', 'h', 'a', '1', 'p', '.', '3', '2', 9, 0,
/* 237 */ 'd', 'l', 's', 't', 'p', '.', '3', '2', 9, 0,
/* 247 */ 'w', 'l', 's', 't', 'p', '.', '3', '2', 9, 0,
/* 257 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 272 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 287 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 302 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 317 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 332 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 347 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 362 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
/* 377 */ 'v', 'c', 'm', 'l', 'a', '.', 'f', '3', '2', 9, 0,
/* 388 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '3', '2', 9, 0,
/* 400 */ 'v', 'c', 'a', 'd', 'd', '.', 'f', '3', '2', 9, 0,
/* 411 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '3', '2', 9, 0,
/* 423 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '3', '2', 9, 0,
/* 435 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '3', '2', 9, 0,
/* 447 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '3', '2', 9, 0,
/* 459 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '3', '2', 9, 0,
/* 471 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '3', '2', 9, 0,
/* 483 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '3', '2', 9, 0,
/* 495 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '3', '2', 9, 0,
/* 507 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '3', '2', 9, 0,
/* 519 */ 'v', 'r', 'i', 'n', 't', 'x', '.', 'f', '3', '2', 9, 0,
/* 531 */ 'v', 'r', 'i', 'n', 't', 'z', '.', 'f', '3', '2', 9, 0,
/* 543 */ 'l', 'd', 'c', '2', 9, 0,
/* 549 */ 'm', 'r', 'c', '2', 9, 0,
/* 555 */ 'm', 'r', 'r', 'c', '2', 9, 0,
/* 562 */ 's', 't', 'c', '2', 9, 0,
/* 568 */ 'c', 'd', 'p', '2', 9, 0,
/* 574 */ 'm', 'c', 'r', '2', 9, 0,
/* 580 */ 'm', 'c', 'r', 'r', '2', 9, 0,
/* 587 */ 'd', 'l', 's', 't', 'p', '.', '6', '4', 9, 0,
/* 597 */ 'w', 'l', 's', 't', 'p', '.', '6', '4', 9, 0,
/* 607 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 622 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 637 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 652 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 667 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 682 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 697 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 712 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
/* 727 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '6', '4', 9, 0,
/* 739 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '6', '4', 9, 0,
/* 751 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '6', '4', 9, 0,
/* 763 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '6', '4', 9, 0,
/* 775 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '6', '4', 9, 0,
/* 787 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '6', '4', 9, 0,
/* 799 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '6', '4', 9, 0,
/* 811 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '6', '4', 9, 0,
/* 823 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '6', '4', 9, 0,
/* 835 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '6', '4', 9, 0,
/* 847 */ 'v', 'm', 'u', 'l', 'l', '.', 'p', '6', '4', 9, 0,
/* 858 */ 'v', 'l', 'd', '2', '0', '.', '1', '6', 9, 0,
/* 868 */ 'v', 's', 't', '2', '0', '.', '1', '6', 9, 0,
/* 878 */ 'v', 'l', 'd', '4', '0', '.', '1', '6', 9, 0,
/* 888 */ 'v', 's', 't', '4', '0', '.', '1', '6', 9, 0,
/* 898 */ 'v', 'l', 'd', '2', '1', '.', '1', '6', 9, 0,
/* 908 */ 'v', 's', 't', '2', '1', '.', '1', '6', 9, 0,
/* 918 */ 'v', 'l', 'd', '4', '1', '.', '1', '6', 9, 0,
/* 928 */ 'v', 's', 't', '4', '1', '.', '1', '6', 9, 0,
/* 938 */ 'v', 'l', 'd', '4', '2', '.', '1', '6', 9, 0,
/* 948 */ 'v', 's', 't', '4', '2', '.', '1', '6', 9, 0,
/* 958 */ 'v', 'l', 'd', '4', '3', '.', '1', '6', 9, 0,
/* 968 */ 'v', 's', 't', '4', '3', '.', '1', '6', 9, 0,
/* 978 */ 'd', 'l', 's', 't', 'p', '.', '1', '6', 9, 0,
/* 988 */ 'w', 'l', 's', 't', 'p', '.', '1', '6', 9, 0,
/* 998 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1013 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1028 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1043 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1058 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1073 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1088 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1103 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
/* 1118 */ 'v', 'c', 'v', 't', 'a', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1133 */ 'v', 'c', 'v', 't', 'm', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1148 */ 'v', 'c', 'v', 't', 'n', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1163 */ 'v', 'c', 'v', 't', 'p', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1178 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1193 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1208 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1223 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
/* 1238 */ 'v', 'c', 'm', 'l', 'a', '.', 'f', '1', '6', 9, 0,
/* 1249 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '1', '6', 9, 0,
/* 1261 */ 'v', 'c', 'a', 'd', 'd', '.', 'f', '1', '6', 9, 0,
/* 1272 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '1', '6', 9, 0,
/* 1284 */ 'v', 'f', 'm', 'a', 'l', '.', 'f', '1', '6', 9, 0,
/* 1295 */ 'v', 'f', 'm', 's', 'l', '.', 'f', '1', '6', 9, 0,
/* 1306 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '1', '6', 9, 0,
/* 1318 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '1', '6', 9, 0,
/* 1330 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '1', '6', 9, 0,
/* 1342 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '1', '6', 9, 0,
/* 1354 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '1', '6', 9, 0,
/* 1366 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '1', '6', 9, 0,
/* 1378 */ 'v', 'i', 'n', 's', '.', 'f', '1', '6', 9, 0,
/* 1388 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '1', '6', 9, 0,
/* 1400 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '1', '6', 9, 0,
/* 1412 */ 'v', 'r', 'i', 'n', 't', 'x', '.', 'f', '1', '6', 9, 0,
/* 1424 */ 'v', 'm', 'o', 'v', 'x', '.', 'f', '1', '6', 9, 0,
/* 1435 */ 'v', 'r', 'i', 'n', 't', 'z', '.', 'f', '1', '6', 9, 0,
/* 1447 */ 'v', 'l', 'd', '2', '0', '.', '8', 9, 0,
/* 1456 */ 'v', 's', 't', '2', '0', '.', '8', 9, 0,
/* 1465 */ 'v', 'l', 'd', '4', '0', '.', '8', 9, 0,
/* 1474 */ 'v', 's', 't', '4', '0', '.', '8', 9, 0,
/* 1483 */ 'v', 'l', 'd', '2', '1', '.', '8', 9, 0,
/* 1492 */ 'v', 's', 't', '2', '1', '.', '8', 9, 0,
/* 1501 */ 'v', 'l', 'd', '4', '1', '.', '8', 9, 0,
/* 1510 */ 'v', 's', 't', '4', '1', '.', '8', 9, 0,
/* 1519 */ 'v', 'l', 'd', '4', '2', '.', '8', 9, 0,
/* 1528 */ 'v', 's', 't', '4', '2', '.', '8', 9, 0,
/* 1537 */ 'v', 'l', 'd', '4', '3', '.', '8', 9, 0,
/* 1546 */ 'v', 's', 't', '4', '3', '.', '8', 9, 0,
/* 1555 */ 'a', 'e', 's', 'i', 'm', 'c', '.', '8', 9, 0,
/* 1565 */ 'a', 'e', 's', 'm', 'c', '.', '8', 9, 0,
/* 1574 */ 'a', 'e', 's', 'd', '.', '8', 9, 0,
/* 1582 */ 'a', 'e', 's', 'e', '.', '8', 9, 0,
/* 1590 */ 'd', 'l', 's', 't', 'p', '.', '8', 9, 0,
/* 1599 */ 'w', 'l', 's', 't', 'p', '.', '8', 9, 0,
/* 1608 */ 'v', 's', 'd', 'o', 't', '.', 's', '8', 9, 0,
/* 1618 */ 'v', 'u', 'd', 'o', 't', '.', 'u', '8', 9, 0,
/* 1628 */ 'r', 'f', 'e', 'd', 'a', 9, 0,
/* 1635 */ 'r', 'f', 'e', 'i', 'a', 9, 0,
/* 1642 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
/* 1650 */ 'c', 'r', 'c', '3', '2', 'c', 'b', 9, 0,
/* 1659 */ 'r', 'f', 'e', 'd', 'b', 9, 0,
/* 1666 */ 'r', 'f', 'e', 'i', 'b', 9, 0,
/* 1673 */ 'd', 'm', 'b', 9, 0,
/* 1678 */ 'd', 's', 'b', 9, 0,
/* 1683 */ 'i', 's', 'b', 9, 0,
/* 1688 */ 't', 's', 'b', 9, 0,
/* 1693 */ 'c', 's', 'i', 'n', 'c', 9, 0,
/* 1700 */ 'h', 'v', 'c', 9, 0,
/* 1705 */ 'p', 'l', 'd', 9, 0,
/* 1710 */ 's', 'e', 't', 'e', 'n', 'd', 9, 0,
/* 1718 */ 'l', 'e', 9, 0,
/* 1722 */ 'u', 'd', 'f', 9, 0,
/* 1727 */ 'c', 's', 'n', 'e', 'g', 9, 0,
/* 1734 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
/* 1742 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
/* 1751 */ 'p', 'l', 'i', 9, 0,
/* 1756 */ 'l', 'd', 'c', '2', 'l', 9, 0,
/* 1763 */ 's', 't', 'c', '2', 'l', 9, 0,
/* 1770 */ 'b', 'l', 9, 0,
/* 1774 */ 'b', 'f', 'c', 's', 'e', 'l', 9, 0,
/* 1782 */ 'c', 'l', 'r', 'm', 9, 0,
/* 1788 */ 's', 'e', 't', 'p', 'a', 'n', 9, 0,
/* 1796 */ 'l', 'e', 't', 'p', 9, 0,
/* 1802 */ 'd', 'l', 's', 9, 0,
/* 1807 */ 'w', 'l', 's', 9, 0,
/* 1812 */ 'c', 'p', 's', 9, 0,
/* 1817 */ 'm', 'o', 'v', 's', 9, 0,
/* 1823 */ 'h', 'l', 't', 9, 0,
/* 1828 */ 'b', 'k', 'p', 't', 9, 0,
/* 1834 */ 'c', 's', 'i', 'n', 'v', 9, 0,
/* 1841 */ 'h', 'v', 'c', '.', 'w', 9, 0,
/* 1848 */ 'u', 'd', 'f', '.', 'w', 9, 0,
/* 1855 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
/* 1863 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
/* 1872 */ 'p', 'l', 'd', 'w', 9, 0,
/* 1878 */ 'b', 'x', 9, 0,
/* 1882 */ 'b', 'l', 'x', 9, 0,
/* 1887 */ 'c', 'b', 'z', 9, 0,
/* 1892 */ 'c', 'b', 'n', 'z', 9, 0,
/* 1898 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', '!', ',', 32, 0,
/* 1910 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', '!', ',', 32, 0,
/* 1922 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', '!', ',', 32, 0,
/* 1934 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', '!', ',', 32, 0,
/* 1946 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', ',', 32, 0,
/* 1957 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', ',', 32, 0,
/* 1968 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', ',', 32, 0,
/* 1979 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', ',', 32, 0,
/* 1990 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'P', 'a', 't', 'c', 'h', 'a', 'b', 'l', 'e', 32, 'R', 'E', 'T', '.', 0,
/* 2021 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'y', 'p', 'e', 'd', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
/* 2045 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'C', 'u', 's', 't', 'o', 'm', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
/* 2070 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'n', 't', 'e', 'r', '.', 0,
/* 2093 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'a', 'i', 'l', 32, 'C', 'a', 'l', 'l', 32, 'E', 'x', 'i', 't', '.', 0,
/* 2116 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'x', 'i', 't', '.', 0,
/* 2138 */ '_', '_', 'b', 'r', 'k', 'd', 'i', 'v', '0', 0,
/* 2148 */ 'v', 'l', 'd', '1', 0,
/* 2153 */ 'd', 'c', 'p', 's', '1', 0,
/* 2159 */ 'v', 's', 't', '1', 0,
/* 2164 */ 'v', 'r', 'e', 'v', '3', '2', 0,
/* 2171 */ 'l', 'd', 'c', '2', 0,
/* 2176 */ 'm', 'r', 'c', '2', 0,
/* 2181 */ 'm', 'r', 'r', 'c', '2', 0,
/* 2187 */ 's', 't', 'c', '2', 0,
/* 2192 */ 'v', 'l', 'd', '2', 0,
/* 2197 */ 'c', 'd', 'p', '2', 0,
/* 2202 */ 'm', 'c', 'r', '2', 0,
/* 2207 */ 'm', 'c', 'r', 'r', '2', 0,
/* 2213 */ 'd', 'c', 'p', 's', '2', 0,
/* 2219 */ 'v', 's', 't', '2', 0,
/* 2224 */ 'v', 'l', 'd', '3', 0,
/* 2229 */ 'd', 'c', 'p', 's', '3', 0,
/* 2235 */ 'v', 's', 't', '3', 0,
/* 2240 */ 'v', 'r', 'e', 'v', '6', '4', 0,
/* 2247 */ 'v', 'l', 'd', '4', 0,
/* 2252 */ 'v', 's', 't', '4', 0,
/* 2257 */ 's', 'x', 't', 'a', 'b', '1', '6', 0,
/* 2265 */ 'u', 'x', 't', 'a', 'b', '1', '6', 0,
/* 2273 */ 's', 'x', 't', 'b', '1', '6', 0,
/* 2280 */ 'u', 'x', 't', 'b', '1', '6', 0,
/* 2287 */ 's', 'h', 's', 'u', 'b', '1', '6', 0,
/* 2295 */ 'u', 'h', 's', 'u', 'b', '1', '6', 0,
/* 2303 */ 'u', 'q', 's', 'u', 'b', '1', '6', 0,
/* 2311 */ 's', 's', 'u', 'b', '1', '6', 0,
/* 2318 */ 'u', 's', 'u', 'b', '1', '6', 0,
/* 2325 */ 's', 'h', 'a', 'd', 'd', '1', '6', 0,
/* 2333 */ 'u', 'h', 'a', 'd', 'd', '1', '6', 0,
/* 2341 */ 'u', 'q', 'a', 'd', 'd', '1', '6', 0,
/* 2349 */ 's', 'a', 'd', 'd', '1', '6', 0,
/* 2356 */ 'u', 'a', 'd', 'd', '1', '6', 0,
/* 2363 */ 's', 's', 'a', 't', '1', '6', 0,
/* 2370 */ 'u', 's', 'a', 't', '1', '6', 0,
/* 2377 */ 'v', 'r', 'e', 'v', '1', '6', 0,
/* 2384 */ 'u', 's', 'a', 'd', 'a', '8', 0,
/* 2391 */ 's', 'h', 's', 'u', 'b', '8', 0,
/* 2398 */ 'u', 'h', 's', 'u', 'b', '8', 0,
/* 2405 */ 'u', 'q', 's', 'u', 'b', '8', 0,
/* 2412 */ 's', 's', 'u', 'b', '8', 0,
/* 2418 */ 'u', 's', 'u', 'b', '8', 0,
/* 2424 */ 'u', 's', 'a', 'd', '8', 0,
/* 2430 */ 's', 'h', 'a', 'd', 'd', '8', 0,
/* 2437 */ 'u', 'h', 'a', 'd', 'd', '8', 0,
/* 2444 */ 'u', 'q', 'a', 'd', 'd', '8', 0,
/* 2451 */ 's', 'a', 'd', 'd', '8', 0,
/* 2457 */ 'u', 'a', 'd', 'd', '8', 0,
/* 2463 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
/* 2476 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
/* 2483 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
/* 2493 */ 'D', 'B', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
/* 2503 */ '@', 32, 'C', 'O', 'M', 'P', 'I', 'L', 'E', 'R', 32, 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
/* 2522 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
/* 2537 */ 'v', 'a', 'b', 'a', 0,
/* 2542 */ 'l', 'd', 'a', 0,
/* 2546 */ 'l', 'd', 'm', 'd', 'a', 0,
/* 2552 */ 's', 't', 'm', 'd', 'a', 0,
/* 2558 */ 'v', 'r', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'h', 'a', 0,
/* 2570 */ 'v', 'r', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'h', 'a', 0,
/* 2582 */ 'r', 'f', 'e', 'i', 'a', 0,
/* 2588 */ 'v', 'l', 'd', 'm', 'i', 'a', 0,
/* 2595 */ 'v', 's', 't', 'm', 'i', 'a', 0,
/* 2602 */ 's', 'r', 's', 'i', 'a', 0,
/* 2608 */ 'v', 'c', 'm', 'l', 'a', 0,
/* 2614 */ 's', 'm', 'm', 'l', 'a', 0,
/* 2620 */ 'v', 'n', 'm', 'l', 'a', 0,
/* 2626 */ 'v', 'm', 'l', 'a', 0,
/* 2631 */ 'v', 'f', 'm', 'a', 0,
/* 2636 */ 'v', 'f', 'n', 'm', 'a', 0,
/* 2642 */ 'v', 'm', 'i', 'n', 'n', 'm', 'a', 0,
/* 2650 */ 'v', 'm', 'a', 'x', 'n', 'm', 'a', 0,
/* 2658 */ 'v', 'm', 'i', 'n', 'a', 0,
/* 2664 */ 'v', 'r', 's', 'r', 'a', 0,
/* 2670 */ 'v', 's', 'r', 'a', 0,
/* 2675 */ 'v', 'r', 'i', 'n', 't', 'a', 0,
/* 2682 */ 't', 't', 'a', 0,
/* 2686 */ 'v', 'c', 'v', 't', 'a', 0,
/* 2692 */ 'v', 'm', 'l', 'a', 'd', 'a', 'v', 'a', 0,
/* 2701 */ 'v', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'a', 0,
/* 2711 */ 'v', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'a', 0,
/* 2721 */ 'v', 'm', 'l', 's', 'd', 'a', 'v', 'a', 0,
/* 2730 */ 'v', 'a', 'd', 'd', 'v', 'a', 0,
/* 2737 */ 'v', 'a', 'd', 'd', 'l', 'v', 'a', 0,
/* 2745 */ 'v', 'm', 'a', 'x', 'a', 0,
/* 2751 */ 'l', 'd', 'a', 'b', 0,
/* 2756 */ 's', 'x', 't', 'a', 'b', 0,
/* 2762 */ 'u', 'x', 't', 'a', 'b', 0,
/* 2768 */ 's', 'm', 'l', 'a', 'b', 'b', 0,
/* 2775 */ 's', 'm', 'l', 'a', 'l', 'b', 'b', 0,
/* 2783 */ 's', 'm', 'u', 'l', 'b', 'b', 0,
/* 2790 */ 't', 'b', 'b', 0,
/* 2794 */ 'r', 'f', 'e', 'd', 'b', 0,
/* 2800 */ 'v', 'l', 'd', 'm', 'd', 'b', 0,
/* 2807 */ 'v', 's', 't', 'm', 'd', 'b', 0,
/* 2814 */ 's', 'r', 's', 'd', 'b', 0,
/* 2820 */ 'l', 'd', 'm', 'i', 'b', 0,
/* 2826 */ 's', 't', 'm', 'i', 'b', 0,
/* 2832 */ 'v', 's', 'h', 'l', 'l', 'b', 0,
/* 2839 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 'b', 0,
/* 2848 */ 'v', 'm', 'u', 'l', 'l', 'b', 0,
/* 2855 */ 's', 't', 'l', 'b', 0,
/* 2860 */ 'v', 'm', 'o', 'v', 'l', 'b', 0,
/* 2867 */ 'd', 'm', 'b', 0,
/* 2871 */ 'v', 'q', 's', 'h', 'r', 'n', 'b', 0,
/* 2879 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 'b', 0,
/* 2888 */ 'v', 'r', 's', 'h', 'r', 'n', 'b', 0,
/* 2896 */ 'v', 's', 'h', 'r', 'n', 'b', 0,
/* 2903 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 'b', 0,
/* 2912 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 'b', 0,
/* 2922 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 'b', 0,
/* 2931 */ 'v', 'q', 'm', 'o', 'v', 'n', 'b', 0,
/* 2939 */ 'v', 'm', 'o', 'v', 'n', 'b', 0,
/* 2946 */ 's', 'w', 'p', 'b', 0,
/* 2951 */ 'v', 'l', 'd', 'r', 'b', 0,
/* 2957 */ 'v', 's', 't', 'r', 'b', 0,
/* 2963 */ 'd', 's', 'b', 0,
/* 2967 */ 'i', 's', 'b', 0,
/* 2971 */ 'l', 'd', 'r', 's', 'b', 0,
/* 2977 */ 't', 's', 'b', 0,
/* 2981 */ 's', 'm', 'l', 'a', 't', 'b', 0,
/* 2988 */ 'p', 'k', 'h', 't', 'b', 0,
/* 2994 */ 's', 'm', 'l', 'a', 'l', 't', 'b', 0,
/* 3002 */ 's', 'm', 'u', 'l', 't', 'b', 0,
/* 3009 */ 'v', 'c', 'v', 't', 'b', 0,
/* 3015 */ 's', 'x', 't', 'b', 0,
/* 3020 */ 'u', 'x', 't', 'b', 0,
/* 3025 */ 'q', 'd', 's', 'u', 'b', 0,
/* 3031 */ 'v', 'h', 's', 'u', 'b', 0,
/* 3037 */ 'v', 'q', 's', 'u', 'b', 0,
/* 3043 */ 'v', 's', 'u', 'b', 0,
/* 3048 */ 's', 'm', 'l', 'a', 'w', 'b', 0,
/* 3055 */ 's', 'm', 'u', 'l', 'w', 'b', 0,
/* 3062 */ 'l', 'd', 'a', 'e', 'x', 'b', 0,
/* 3069 */ 's', 't', 'l', 'e', 'x', 'b', 0,
/* 3076 */ 'l', 'd', 'r', 'e', 'x', 'b', 0,
/* 3083 */ 's', 't', 'r', 'e', 'x', 'b', 0,
/* 3090 */ 'v', 's', 'b', 'c', 0,
/* 3095 */ 'v', 'a', 'd', 'c', 0,
/* 3100 */ 'l', 'd', 'c', 0,
/* 3104 */ 'b', 'f', 'c', 0,
/* 3108 */ 'v', 'b', 'i', 'c', 0,
/* 3113 */ 'v', 's', 'h', 'l', 'c', 0,
/* 3119 */ 's', 'm', 'c', 0,
/* 3123 */ 'm', 'r', 'c', 0,
/* 3127 */ 'm', 'r', 'r', 'c', 0,
/* 3132 */ 'r', 's', 'c', 0,
/* 3136 */ 's', 't', 'c', 0,
/* 3140 */ 's', 'v', 'c', 0,
/* 3144 */ 's', 'm', 'l', 'a', 'd', 0,
/* 3150 */ 's', 'm', 'u', 'a', 'd', 0,
/* 3156 */ 'v', 'a', 'b', 'd', 0,
/* 3161 */ 'v', 'h', 'c', 'a', 'd', 'd', 0,
/* 3168 */ 'v', 'c', 'a', 'd', 'd', 0,
/* 3174 */ 'q', 'd', 'a', 'd', 'd', 0,
/* 3180 */ 'v', 'r', 'h', 'a', 'd', 'd', 0,
/* 3187 */ 'v', 'h', 'a', 'd', 'd', 0,
/* 3193 */ 'v', 'p', 'a', 'd', 'd', 0,
/* 3199 */ 'v', 'q', 'a', 'd', 'd', 0,
/* 3205 */ 'v', 'a', 'd', 'd', 0,
/* 3210 */ 's', 'm', 'l', 'a', 'l', 'd', 0,
/* 3217 */ 'p', 'l', 'd', 0,
/* 3221 */ 's', 'm', 'l', 's', 'l', 'd', 0,
/* 3228 */ 'v', 'a', 'n', 'd', 0,
/* 3233 */ 'v', 'l', 'd', 'r', 'd', 0,
/* 3239 */ 'v', 's', 't', 'r', 'd', 0,
/* 3245 */ 's', 'm', 'l', 's', 'd', 0,
/* 3251 */ 's', 'm', 'u', 's', 'd', 0,
/* 3257 */ 'l', 'd', 'a', 'e', 'x', 'd', 0,
/* 3264 */ 's', 't', 'l', 'e', 'x', 'd', 0,
/* 3271 */ 'l', 'd', 'r', 'e', 'x', 'd', 0,
/* 3278 */ 's', 't', 'r', 'e', 'x', 'd', 0,
/* 3285 */ 'v', 'a', 'c', 'g', 'e', 0,
/* 3291 */ 'v', 'c', 'g', 'e', 0,
/* 3296 */ 'v', 'c', 'l', 'e', 0,
/* 3301 */ 'v', 'r', 'e', 'c', 'p', 'e', 0,
/* 3308 */ 'v', 'c', 'm', 'p', 'e', 0,
/* 3314 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 0,
/* 3322 */ 'b', 'f', 0,
/* 3325 */ 'v', 'b', 'i', 'f', 0,
/* 3330 */ 'd', 'b', 'g', 0,
/* 3334 */ 'v', 'q', 'n', 'e', 'g', 0,
/* 3340 */ 'v', 'n', 'e', 'g', 0,
/* 3345 */ 's', 'g', 0,
/* 3348 */ 'l', 'd', 'a', 'h', 0,
/* 3353 */ 'v', 'q', 'd', 'm', 'l', 'a', 'h', 0,
/* 3361 */ 'v', 'q', 'r', 'd', 'm', 'l', 'a', 'h', 0,
/* 3370 */ 's', 'x', 't', 'a', 'h', 0,
/* 3376 */ 'u', 'x', 't', 'a', 'h', 0,
/* 3382 */ 't', 'b', 'h', 0,
/* 3386 */ 'v', 'q', 'd', 'm', 'l', 'a', 'd', 'h', 0,
/* 3395 */ 'v', 'q', 'r', 'd', 'm', 'l', 'a', 'd', 'h', 0,
/* 3405 */ 'v', 'q', 'd', 'm', 'l', 's', 'd', 'h', 0,
/* 3414 */ 'v', 'q', 'r', 'd', 'm', 'l', 's', 'd', 'h', 0,
/* 3424 */ 's', 't', 'l', 'h', 0,
/* 3429 */ 'v', 'q', 'd', 'm', 'u', 'l', 'h', 0,
/* 3437 */ 'v', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 0,
/* 3446 */ 'v', 'r', 'm', 'u', 'l', 'h', 0,
/* 3453 */ 'v', 'm', 'u', 'l', 'h', 0,
/* 3459 */ 'v', 'l', 'd', 'r', 'h', 0,
/* 3465 */ 'v', 's', 't', 'r', 'h', 0,
/* 3471 */ 'v', 'q', 'd', 'm', 'l', 'a', 's', 'h', 0,
/* 3480 */ 'v', 'q', 'r', 'd', 'm', 'l', 'a', 's', 'h', 0,
/* 3490 */ 'v', 'q', 'r', 'd', 'm', 'l', 's', 'h', 0,
/* 3499 */ 'l', 'd', 'r', 's', 'h', 0,
/* 3505 */ 'p', 'u', 's', 'h', 0,
/* 3510 */ 'r', 'e', 'v', 's', 'h', 0,
/* 3516 */ 's', 'x', 't', 'h', 0,
/* 3521 */ 'u', 'x', 't', 'h', 0,
/* 3526 */ 'v', 'r', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'h', 0,
/* 3537 */ 'v', 'r', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'h', 0,
/* 3548 */ 'l', 'd', 'a', 'e', 'x', 'h', 0,
/* 3555 */ 's', 't', 'l', 'e', 'x', 'h', 0,
/* 3562 */ 'l', 'd', 'r', 'e', 'x', 'h', 0,
/* 3569 */ 's', 't', 'r', 'e', 'x', 'h', 0,
/* 3576 */ 'v', 's', 'b', 'c', 'i', 0,
/* 3582 */ 'v', 'a', 'd', 'c', 'i', 0,
/* 3588 */ 'b', 'f', 'i', 0,
/* 3592 */ 'p', 'l', 'i', 0,
/* 3596 */ 'v', 's', 'l', 'i', 0,
/* 3601 */ 'v', 's', 'r', 'i', 0,
/* 3606 */ 'b', 'x', 'j', 0,
/* 3610 */ 'l', 'd', 'c', '2', 'l', 0,
/* 3616 */ 's', 't', 'c', '2', 'l', 0,
/* 3622 */ 'u', 'm', 'a', 'a', 'l', 0,
/* 3628 */ 'v', 'a', 'b', 'a', 'l', 0,
/* 3634 */ 'v', 'p', 'a', 'd', 'a', 'l', 0,
/* 3641 */ 'v', 'q', 'd', 'm', 'l', 'a', 'l', 0,
/* 3649 */ 's', 'm', 'l', 'a', 'l', 0,
/* 3655 */ 'u', 'm', 'l', 'a', 'l', 0,
/* 3661 */ 'v', 'm', 'l', 'a', 'l', 0,
/* 3667 */ 'v', 't', 'b', 'l', 0,
/* 3672 */ 'v', 's', 'u', 'b', 'l', 0,
/* 3678 */ 'l', 'd', 'c', 'l', 0,
/* 3683 */ 's', 't', 'c', 'l', 0,
/* 3688 */ 'v', 'a', 'b', 'd', 'l', 0,
/* 3694 */ 'v', 'p', 'a', 'd', 'd', 'l', 0,
/* 3701 */ 'v', 'a', 'd', 'd', 'l', 0,
/* 3707 */ 'v', 'p', 's', 'e', 'l', 0,
/* 3713 */ 'b', 'f', 'l', 0,
/* 3717 */ 's', 'q', 's', 'h', 'l', 0,
/* 3723 */ 'u', 'q', 's', 'h', 'l', 0,
/* 3729 */ 'v', 'q', 's', 'h', 'l', 0,
/* 3735 */ 'u', 'q', 'r', 's', 'h', 'l', 0,
/* 3742 */ 'v', 'q', 'r', 's', 'h', 'l', 0,
/* 3749 */ 'v', 'r', 's', 'h', 'l', 0,
/* 3755 */ 'v', 's', 'h', 'l', 0,
/* 3760 */ '#', 32, 'F', 'E', 'n', 't', 'r', 'y', 32, 'c', 'a', 'l', 'l', 0,
/* 3774 */ 's', 'q', 's', 'h', 'l', 'l', 0,
/* 3781 */ 'u', 'q', 's', 'h', 'l', 'l', 0,
/* 3788 */ 'u', 'q', 'r', 's', 'h', 'l', 'l', 0,
/* 3796 */ 'v', 's', 'h', 'l', 'l', 0,
/* 3802 */ 'l', 's', 'l', 'l', 0,
/* 3807 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 0,
/* 3815 */ 's', 'm', 'u', 'l', 'l', 0,
/* 3821 */ 'u', 'm', 'u', 'l', 'l', 0,
/* 3827 */ 'v', 'm', 'u', 'l', 'l', 0,
/* 3833 */ 's', 'q', 'r', 's', 'h', 'r', 'l', 0,
/* 3841 */ 's', 'r', 's', 'h', 'r', 'l', 0,
/* 3848 */ 'u', 'r', 's', 'h', 'r', 'l', 0,
/* 3855 */ 'a', 's', 'r', 'l', 0,
/* 3860 */ 'l', 's', 'r', 'l', 0,
/* 3865 */ 'v', 'b', 's', 'l', 0,
/* 3870 */ 'v', 'q', 'd', 'm', 'l', 's', 'l', 0,
/* 3878 */ 'v', 'm', 'l', 's', 'l', 0,
/* 3884 */ 's', 't', 'l', 0,
/* 3888 */ 'v', 'c', 'm', 'u', 'l', 0,
/* 3894 */ 's', 'm', 'm', 'u', 'l', 0,
/* 3900 */ 'v', 'n', 'm', 'u', 'l', 0,
/* 3906 */ 'v', 'm', 'u', 'l', 0,
/* 3911 */ 'v', 'm', 'o', 'v', 'l', 0,
/* 3917 */ 'v', 'l', 'l', 'd', 'm', 0,
/* 3923 */ 'v', 'm', 'i', 'n', 'n', 'm', 0,
/* 3930 */ 'v', 'm', 'a', 'x', 'n', 'm', 0,
/* 3937 */ 'v', 's', 'c', 'c', 'l', 'r', 'm', 0,
/* 3945 */ 'v', 'r', 'i', 'n', 't', 'm', 0,
/* 3952 */ 'v', 'l', 's', 't', 'm', 0,
/* 3958 */ 'v', 'c', 'v', 't', 'm', 0,
/* 3964 */ 'v', 'r', 's', 'u', 'b', 'h', 'n', 0,
/* 3972 */ 'v', 's', 'u', 'b', 'h', 'n', 0,
/* 3979 */ 'v', 'r', 'a', 'd', 'd', 'h', 'n', 0,
/* 3987 */ 'v', 'a', 'd', 'd', 'h', 'n', 0,
/* 3994 */ 'v', 'p', 'm', 'i', 'n', 0,
/* 4000 */ 'v', 'm', 'i', 'n', 0,
/* 4005 */ 'c', 'm', 'n', 0,
/* 4009 */ 'v', 'q', 's', 'h', 'r', 'n', 0,
/* 4016 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 0,
/* 4024 */ 'v', 'r', 's', 'h', 'r', 'n', 0,
/* 4031 */ 'v', 's', 'h', 'r', 'n', 0,
/* 4037 */ 'v', 'o', 'r', 'n', 0,
/* 4042 */ 'v', 't', 'r', 'n', 0,
/* 4047 */ 'v', 'r', 'i', 'n', 't', 'n', 0,
/* 4054 */ 'v', 'c', 'v', 't', 'n', 0,
/* 4060 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 0,
/* 4068 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 0,
/* 4077 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 0,
/* 4085 */ 'v', 'm', 'v', 'n', 0,
/* 4090 */ 'v', 'q', 'm', 'o', 'v', 'n', 0,
/* 4097 */ 'v', 'm', 'o', 'v', 'n', 0,
/* 4103 */ 't', 'r', 'a', 'p', 0,
/* 4108 */ 'c', 'd', 'p', 0,
/* 4112 */ 'v', 'z', 'i', 'p', 0,
/* 4117 */ 'v', 'c', 'm', 'p', 0,
/* 4122 */ 'p', 'o', 'p', 0,
/* 4126 */ 'l', 'c', 't', 'p', 0,
/* 4131 */ 'v', 'c', 't', 'p', 0,
/* 4136 */ 'v', 'r', 'i', 'n', 't', 'p', 0,
/* 4143 */ 'v', 'c', 'v', 't', 'p', 0,
/* 4149 */ 'v', 'd', 'd', 'u', 'p', 0,
/* 4155 */ 'v', 'i', 'd', 'u', 'p', 0,
/* 4161 */ 'v', 'd', 'u', 'p', 0,
/* 4166 */ 'v', 'd', 'w', 'd', 'u', 'p', 0,
/* 4173 */ 'v', 'i', 'w', 'd', 'u', 'p', 0,
/* 4180 */ 'v', 's', 'w', 'p', 0,
/* 4185 */ 'v', 'u', 'z', 'p', 0,
/* 4190 */ 'v', 'c', 'e', 'q', 0,
/* 4195 */ 't', 'e', 'q', 0,
/* 4199 */ 's', 'm', 'm', 'l', 'a', 'r', 0,
/* 4206 */ 'm', 'c', 'r', 0,
/* 4210 */ 'a', 'd', 'r', 0,
/* 4214 */ 'v', 'l', 'd', 'r', 0,
/* 4219 */ 's', 'q', 'r', 's', 'h', 'r', 0,
/* 4226 */ 's', 'r', 's', 'h', 'r', 0,
/* 4232 */ 'u', 'r', 's', 'h', 'r', 0,
/* 4238 */ 'v', 'r', 's', 'h', 'r', 0,
/* 4244 */ 'v', 's', 'h', 'r', 0,
/* 4249 */ 's', 'm', 'm', 'u', 'l', 'r', 0,
/* 4256 */ 'v', 'e', 'o', 'r', 0,
/* 4261 */ 'r', 'o', 'r', 0,
/* 4265 */ 'm', 'c', 'r', 'r', 0,
/* 4270 */ 'v', 'o', 'r', 'r', 0,
/* 4275 */ 'a', 's', 'r', 0,
/* 4279 */ 's', 'm', 'm', 'l', 's', 'r', 0,
/* 4286 */ 'v', 'm', 's', 'r', 0,
/* 4291 */ 'v', 'b', 'r', 's', 'r', 0,
/* 4297 */ 'v', 'r', 'i', 'n', 't', 'r', 0,
/* 4304 */ 'v', 's', 't', 'r', 0,
/* 4309 */ 'v', 'c', 'v', 't', 'r', 0,
/* 4315 */ 'v', 'm', 'l', 'a', 's', 0,
/* 4321 */ 'v', 'f', 'm', 'a', 's', 0,
/* 4327 */ 'v', 'q', 'a', 'b', 's', 0,
/* 4333 */ 'v', 'a', 'b', 's', 0,
/* 4338 */ 's', 'u', 'b', 's', 0,
/* 4343 */ 'v', 'c', 'l', 's', 0,
/* 4348 */ 's', 'm', 'm', 'l', 's', 0,
/* 4354 */ 'v', 'n', 'm', 'l', 's', 0,
/* 4360 */ 'v', 'm', 'l', 's', 0,
/* 4365 */ 'v', 'f', 'm', 's', 0,
/* 4370 */ 'v', 'f', 'n', 'm', 's', 0,
/* 4376 */ 'b', 'x', 'n', 's', 0,
/* 4381 */ 'b', 'l', 'x', 'n', 's', 0,
/* 4387 */ 'v', 'r', 'e', 'c', 'p', 's', 0,
/* 4394 */ 'v', 'm', 'r', 's', 0,
/* 4399 */ 'a', 's', 'r', 's', 0,
/* 4404 */ 'l', 's', 'r', 's', 0,
/* 4409 */ 'v', 'r', 's', 'q', 'r', 't', 's', 0,
/* 4417 */ 'm', 'o', 'v', 's', 0,
/* 4422 */ 's', 's', 'a', 't', 0,
/* 4427 */ 'u', 's', 'a', 't', 0,
/* 4432 */ 't', 't', 'a', 't', 0,
/* 4437 */ 's', 'm', 'l', 'a', 'b', 't', 0,
/* 4444 */ 'p', 'k', 'h', 'b', 't', 0,
/* 4450 */ 's', 'm', 'l', 'a', 'l', 'b', 't', 0,
/* 4458 */ 's', 'm', 'u', 'l', 'b', 't', 0,
/* 4465 */ 'l', 'd', 'r', 'b', 't', 0,
/* 4471 */ 's', 't', 'r', 'b', 't', 0,
/* 4477 */ 'l', 'd', 'r', 's', 'b', 't', 0,
/* 4484 */ 'e', 'r', 'e', 't', 0,
/* 4489 */ 'v', 'a', 'c', 'g', 't', 0,
/* 4495 */ 'v', 'c', 'g', 't', 0,
/* 4500 */ 'l', 'd', 'r', 'h', 't', 0,
/* 4506 */ 's', 't', 'r', 'h', 't', 0,
/* 4512 */ 'l', 'd', 'r', 's', 'h', 't', 0,
/* 4519 */ 'r', 'b', 'i', 't', 0,
/* 4524 */ 'v', 'b', 'i', 't', 0,
/* 4529 */ 'v', 'c', 'l', 't', 0,
/* 4534 */ 'v', 's', 'h', 'l', 'l', 't', 0,
/* 4541 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 't', 0,
/* 4550 */ 'v', 'm', 'u', 'l', 'l', 't', 0,
/* 4557 */ 'v', 'm', 'o', 'v', 'l', 't', 0,
/* 4564 */ 'v', 'c', 'n', 't', 0,
/* 4569 */ 'h', 'i', 'n', 't', 0,
/* 4574 */ 'v', 'q', 's', 'h', 'r', 'n', 't', 0,
/* 4582 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 't', 0,
/* 4591 */ 'v', 'r', 's', 'h', 'r', 'n', 't', 0,
/* 4599 */ 'v', 's', 'h', 'r', 'n', 't', 0,
/* 4606 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 't', 0,
/* 4615 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 't', 0,
/* 4625 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 't', 0,
/* 4634 */ 'v', 'q', 'm', 'o', 'v', 'n', 't', 0,
/* 4642 */ 'v', 'm', 'o', 'v', 'n', 't', 0,
/* 4649 */ 'v', 'p', 'n', 'o', 't', 0,
/* 4655 */ 'v', 'p', 't', 0,
/* 4659 */ 'l', 'd', 'r', 't', 0,
/* 4664 */ 'v', 's', 'q', 'r', 't', 0,
/* 4670 */ 's', 't', 'r', 't', 0,
/* 4675 */ 'v', 'p', 's', 't', 0,
/* 4680 */ 'v', 't', 's', 't', 0,
/* 4685 */ 's', 'm', 'l', 'a', 't', 't', 0,
/* 4692 */ 's', 'm', 'l', 'a', 'l', 't', 't', 0,
/* 4700 */ 's', 'm', 'u', 'l', 't', 't', 0,
/* 4707 */ 't', 't', 't', 0,
/* 4711 */ 'v', 'c', 'v', 't', 't', 0,
/* 4717 */ 'v', 'j', 'c', 'v', 't', 0,
/* 4723 */ 'v', 'c', 'v', 't', 0,
/* 4728 */ 'm', 'o', 'v', 't', 0,
/* 4733 */ 's', 'm', 'l', 'a', 'w', 't', 0,
/* 4740 */ 's', 'm', 'u', 'l', 'w', 't', 0,
/* 4747 */ 'v', 'e', 'x', 't', 0,
/* 4752 */ 'v', 'q', 's', 'h', 'l', 'u', 0,
/* 4759 */ 'v', 'a', 'b', 'a', 'v', 0,
/* 4765 */ 'v', 'm', 'l', 'a', 'd', 'a', 'v', 0,
/* 4773 */ 'v', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 0,
/* 4782 */ 'v', 'm', 'l', 's', 'l', 'd', 'a', 'v', 0,
/* 4791 */ 'v', 'm', 'l', 's', 'd', 'a', 'v', 0,
/* 4799 */ 'v', 'm', 'i', 'n', 'n', 'm', 'a', 'v', 0,
/* 4808 */ 'v', 'm', 'a', 'x', 'n', 'm', 'a', 'v', 0,
/* 4817 */ 'v', 'm', 'i', 'n', 'a', 'v', 0,
/* 4824 */ 'v', 'm', 'a', 'x', 'a', 'v', 0,
/* 4831 */ 'v', 'a', 'd', 'd', 'v', 0,
/* 4837 */ 'r', 'e', 'v', 0,
/* 4841 */ 's', 'd', 'i', 'v', 0,
/* 4846 */ 'u', 'd', 'i', 'v', 0,
/* 4851 */ 'v', 'd', 'i', 'v', 0,
/* 4856 */ 'v', 'a', 'd', 'd', 'l', 'v', 0,
/* 4863 */ 'v', 'm', 'i', 'n', 'n', 'm', 'v', 0,
/* 4871 */ 'v', 'm', 'a', 'x', 'n', 'm', 'v', 0,
/* 4879 */ 'v', 'm', 'i', 'n', 'v', 0,
/* 4885 */ 'v', 'm', 'o', 'v', 0,
/* 4890 */ 'v', 'm', 'a', 'x', 'v', 0,
/* 4896 */ 'v', 's', 'u', 'b', 'w', 0,
/* 4902 */ 'v', 'a', 'd', 'd', 'w', 0,
/* 4908 */ 'p', 'l', 'd', 'w', 0,
/* 4913 */ 'v', 'l', 'd', 'r', 'w', 0,
/* 4919 */ 'v', 's', 't', 'r', 'w', 0,
/* 4925 */ 'm', 'o', 'v', 'w', 0,
/* 4930 */ 'v', 'r', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'h', 'a', 'x', 0,
/* 4943 */ 'v', 'r', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'h', 'a', 'x', 0,
/* 4956 */ 'f', 'l', 'd', 'm', 'i', 'a', 'x', 0,
/* 4964 */ 'f', 's', 't', 'm', 'i', 'a', 'x', 0,
/* 4972 */ 'v', 'p', 'm', 'a', 'x', 0,
/* 4978 */ 'v', 'm', 'a', 'x', 0,
/* 4983 */ 's', 'h', 's', 'a', 'x', 0,
/* 4989 */ 'u', 'h', 's', 'a', 'x', 0,
/* 4995 */ 'u', 'q', 's', 'a', 'x', 0,
/* 5001 */ 's', 's', 'a', 'x', 0,
/* 5006 */ 'u', 's', 'a', 'x', 0,
/* 5011 */ 'v', 'm', 'l', 'a', 'd', 'a', 'v', 'a', 'x', 0,
/* 5021 */ 'v', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'a', 'x', 0,
/* 5032 */ 'v', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'a', 'x', 0,
/* 5043 */ 'v', 'm', 'l', 's', 'd', 'a', 'v', 'a', 'x', 0,
/* 5053 */ 'f', 'l', 'd', 'm', 'd', 'b', 'x', 0,
/* 5061 */ 'f', 's', 't', 'm', 'd', 'b', 'x', 0,
/* 5069 */ 'v', 't', 'b', 'x', 0,
/* 5074 */ 's', 'm', 'l', 'a', 'd', 'x', 0,
/* 5081 */ 's', 'm', 'u', 'a', 'd', 'x', 0,
/* 5088 */ 's', 'm', 'l', 'a', 'l', 'd', 'x', 0,
/* 5096 */ 's', 'm', 'l', 's', 'l', 'd', 'x', 0,
/* 5104 */ 's', 'm', 'l', 's', 'd', 'x', 0,
/* 5111 */ 's', 'm', 'u', 's', 'd', 'x', 0,
/* 5118 */ 'l', 'd', 'a', 'e', 'x', 0,
/* 5124 */ 's', 't', 'l', 'e', 'x', 0,
/* 5130 */ 'l', 'd', 'r', 'e', 'x', 0,
/* 5136 */ 'c', 'l', 'r', 'e', 'x', 0,
/* 5142 */ 's', 't', 'r', 'e', 'x', 0,
/* 5148 */ 's', 'b', 'f', 'x', 0,
/* 5153 */ 'u', 'b', 'f', 'x', 0,
/* 5158 */ 'v', 'q', 'd', 'm', 'l', 'a', 'd', 'h', 'x', 0,
/* 5168 */ 'v', 'q', 'r', 'd', 'm', 'l', 'a', 'd', 'h', 'x', 0,
/* 5179 */ 'v', 'q', 'd', 'm', 'l', 's', 'd', 'h', 'x', 0,
/* 5189 */ 'v', 'q', 'r', 'd', 'm', 'l', 's', 'd', 'h', 'x', 0,
/* 5200 */ 'v', 'r', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'h', 'x', 0,
/* 5212 */ 'v', 'r', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'h', 'x', 0,
/* 5224 */ 'b', 'l', 'x', 0,
/* 5228 */ 'b', 'f', 'l', 'x', 0,
/* 5233 */ 'r', 'r', 'x', 0,
/* 5237 */ 's', 'h', 'a', 's', 'x', 0,
/* 5243 */ 'u', 'h', 'a', 's', 'x', 0,
/* 5249 */ 'u', 'q', 'a', 's', 'x', 0,
/* 5255 */ 's', 'a', 's', 'x', 0,
/* 5260 */ 'u', 'a', 's', 'x', 0,
/* 5265 */ 'v', 'r', 'i', 'n', 't', 'x', 0,
/* 5272 */ 'v', 'm', 'l', 'a', 'd', 'a', 'v', 'x', 0,
/* 5281 */ 'v', 'm', 'l', 'a', 'l', 'd', 'a', 'v', 'x', 0,
/* 5291 */ 'v', 'm', 'l', 's', 'l', 'd', 'a', 'v', 'x', 0,
/* 5301 */ 'v', 'm', 'l', 's', 'd', 'a', 'v', 'x', 0,
/* 5310 */ 'v', 'c', 'l', 'z', 0,
/* 5315 */ 'v', 'r', 'i', 'n', 't', 'z', 0,
};
static const uint32_t OpInfo0[] = {
0U, // PHI
0U, // INLINEASM
0U, // INLINEASM_BR
0U, // CFI_INSTRUCTION
0U, // EH_LABEL
0U, // GC_LABEL
0U, // ANNOTATION_LABEL
0U, // KILL
0U, // EXTRACT_SUBREG
0U, // INSERT_SUBREG
0U, // IMPLICIT_DEF
0U, // SUBREG_TO_REG
0U, // COPY_TO_REGCLASS
2484U, // DBG_VALUE
2494U, // DBG_LABEL
0U, // REG_SEQUENCE
0U, // COPY
2477U, // BUNDLE
2523U, // LIFETIME_START
2464U, // LIFETIME_END
0U, // STACKMAP
3761U, // FENTRY_CALL
0U, // PATCHPOINT
0U, // LOAD_STACK_GUARD
0U, // STATEPOINT
0U, // LOCAL_ESCAPE
0U, // FAULTING_OP
0U, // PATCHABLE_OP
2071U, // PATCHABLE_FUNCTION_ENTER
1991U, // PATCHABLE_RET
2117U, // PATCHABLE_FUNCTION_EXIT
2094U, // PATCHABLE_TAIL_CALL
2046U, // PATCHABLE_EVENT_CALL
2022U, // PATCHABLE_TYPED_EVENT_CALL
0U, // ICALL_BRANCH_FUNNEL
0U, // G_ADD
0U, // G_SUB
0U, // G_MUL
0U, // G_SDIV
0U, // G_UDIV
0U, // G_SREM
0U, // G_UREM
0U, // G_AND
0U, // G_OR
0U, // G_XOR
0U, // G_IMPLICIT_DEF
0U, // G_PHI
0U, // G_FRAME_INDEX
0U, // G_GLOBAL_VALUE
0U, // G_EXTRACT
0U, // G_UNMERGE_VALUES
0U, // G_INSERT
0U, // G_MERGE_VALUES
0U, // G_BUILD_VECTOR
0U, // G_BUILD_VECTOR_TRUNC
0U, // G_CONCAT_VECTORS
0U, // G_PTRTOINT
0U, // G_INTTOPTR
0U, // G_BITCAST
0U, // G_INTRINSIC_TRUNC
0U, // G_INTRINSIC_ROUND
0U, // G_READCYCLECOUNTER
0U, // G_LOAD
0U, // G_SEXTLOAD
0U, // G_ZEXTLOAD
0U, // G_INDEXED_LOAD
0U, // G_INDEXED_SEXTLOAD
0U, // G_INDEXED_ZEXTLOAD
0U, // G_STORE
0U, // G_INDEXED_STORE
0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
0U, // G_ATOMIC_CMPXCHG
0U, // G_ATOMICRMW_XCHG
0U, // G_ATOMICRMW_ADD
0U, // G_ATOMICRMW_SUB
0U, // G_ATOMICRMW_AND
0U, // G_ATOMICRMW_NAND
0U, // G_ATOMICRMW_OR
0U, // G_ATOMICRMW_XOR
0U, // G_ATOMICRMW_MAX
0U, // G_ATOMICRMW_MIN
0U, // G_ATOMICRMW_UMAX
0U, // G_ATOMICRMW_UMIN
0U, // G_ATOMICRMW_FADD
0U, // G_ATOMICRMW_FSUB
0U, // G_FENCE
0U, // G_BRCOND
0U, // G_BRINDIRECT
0U, // G_INTRINSIC
0U, // G_INTRINSIC_W_SIDE_EFFECTS
0U, // G_ANYEXT
0U, // G_TRUNC
0U, // G_CONSTANT
0U, // G_FCONSTANT
0U, // G_VASTART
0U, // G_VAARG
0U, // G_SEXT
0U, // G_SEXT_INREG
0U, // G_ZEXT
0U, // G_SHL
0U, // G_LSHR
0U, // G_ASHR
0U, // G_ICMP
0U, // G_FCMP
0U, // G_SELECT
0U, // G_UADDO
0U, // G_UADDE
0U, // G_USUBO
0U, // G_USUBE
0U, // G_SADDO
0U, // G_SADDE
0U, // G_SSUBO
0U, // G_SSUBE
0U, // G_UMULO
0U, // G_SMULO
0U, // G_UMULH
0U, // G_SMULH
0U, // G_FADD
0U, // G_FSUB
0U, // G_FMUL
0U, // G_FMA
0U, // G_FMAD
0U, // G_FDIV
0U, // G_FREM
0U, // G_FPOW
0U, // G_FEXP
0U, // G_FEXP2
0U, // G_FLOG
0U, // G_FLOG2
0U, // G_FLOG10
0U, // G_FNEG
0U, // G_FPEXT
0U, // G_FPTRUNC
0U, // G_FPTOSI
0U, // G_FPTOUI
0U, // G_SITOFP
0U, // G_UITOFP
0U, // G_FABS
0U, // G_FCOPYSIGN
0U, // G_FCANONICALIZE
0U, // G_FMINNUM
0U, // G_FMAXNUM
0U, // G_FMINNUM_IEEE
0U, // G_FMAXNUM_IEEE
0U, // G_FMINIMUM
0U, // G_FMAXIMUM
0U, // G_PTR_ADD
0U, // G_PTR_MASK
0U, // G_SMIN
0U, // G_SMAX
0U, // G_UMIN
0U, // G_UMAX
0U, // G_BR
0U, // G_BRJT
0U, // G_INSERT_VECTOR_ELT
0U, // G_EXTRACT_VECTOR_ELT
0U, // G_SHUFFLE_VECTOR
0U, // G_CTTZ
0U, // G_CTTZ_ZERO_UNDEF
0U, // G_CTLZ
0U, // G_CTLZ_ZERO_UNDEF
0U, // G_CTPOP
0U, // G_BSWAP
0U, // G_BITREVERSE
0U, // G_FCEIL
0U, // G_FCOS
0U, // G_FSIN
0U, // G_FSQRT
0U, // G_FFLOOR
0U, // G_FRINT
0U, // G_FNEARBYINT
0U, // G_ADDRSPACE_CAST
0U, // G_BLOCK_ADDR
0U, // G_JUMP_TABLE
0U, // G_DYN_STACKALLOC
0U, // G_READ_REGISTER
0U, // G_WRITE_REGISTER
0U, // ABS
0U, // ADDSri
0U, // ADDSrr
0U, // ADDSrsi
0U, // ADDSrsr
0U, // ADJCALLSTACKDOWN
0U, // ADJCALLSTACKUP
12468U, // ASRi
12468U, // ASRr
0U, // B
0U, // BCCZi64
0U, // BCCi64
0U, // BL_PUSHLR
0U, // BMOVPCB_CALL
0U, // BMOVPCRX_CALL
0U, // BR_JTadd
0U, // BR_JTm_i12
0U, // BR_JTm_rs
0U, // BR_JTr
0U, // BX_CALL
0U, // CMP_SWAP_16
0U, // CMP_SWAP_32
0U, // CMP_SWAP_64
0U, // CMP_SWAP_8
0U, // CONSTPOOL_ENTRY
0U, // COPY_STRUCT_BYVAL_I32
2504U, // CompilerBarrier
67129770U, // ITasm
0U, // Int_eh_sjlj_dispatchsetup
0U, // Int_eh_sjlj_longjmp
0U, // Int_eh_sjlj_setjmp
0U, // Int_eh_sjlj_setjmp_nofp
0U, // Int_eh_sjlj_setup_dispatch
0U, // JUMPTABLE_ADDRS
0U, // JUMPTABLE_INSTS
0U, // JUMPTABLE_TBB
0U, // JUMPTABLE_TBH
0U, // LDMIA_RET
29042U, // LDRBT_POST
28792U, // LDRConstPool
0U, // LDRLIT_ga_abs
0U, // LDRLIT_ga_pcrel
0U, // LDRLIT_ga_pcrel_ldr
29236U, // LDRT_POST
0U, // LEApcrel
0U, // LEApcrelJT
12067U, // LSLi
12067U, // LSLr
12475U, // LSRi
12475U, // LSRr
0U, // MEMCPY
0U, // MLAv5
0U, // MOVCCi
0U, // MOVCCi16
0U, // MOVCCi32imm
0U, // MOVCCr
0U, // MOVCCsi
0U, // MOVCCsr
0U, // MOVPCRX
0U, // MOVTi16_ga_pcrel
0U, // MOV_ga_pcrel
0U, // MOV_ga_pcrel_ldr
0U, // MOVi16_ga_pcrel
0U, // MOVi32imm
0U, // MOVsra_flag
0U, // MOVsrl_flag
0U, // MULv5
560285U, // MVE_VANDIZ0v4i32
1084573U, // MVE_VANDIZ0v8i16
560285U, // MVE_VANDIZ16v4i32
560285U, // MVE_VANDIZ24v4i32
560285U, // MVE_VANDIZ8v4i32
1084573U, // MVE_VANDIZ8v8i16
561094U, // MVE_VORNIZ0v4i32
1085382U, // MVE_VORNIZ0v8i16
561094U, // MVE_VORNIZ16v4i32
561094U, // MVE_VORNIZ24v4i32
561094U, // MVE_VORNIZ8v4i32
1085382U, // MVE_VORNIZ8v8i16
0U, // MVNCCi
0U, // PICADD
0U, // PICLDR
0U, // PICLDRB
0U, // PICLDRH
0U, // PICLDRSB
0U, // PICLDRSH
0U, // PICSTR
0U, // PICSTRB
0U, // PICSTRH
12454U, // RORi
12454U, // RORr
0U, // RRX
46194U, // RRXi
0U, // RSBSri
0U, // RSBSrsi
0U, // RSBSrsr
0U, // SMLALv5
0U, // SMULLv5
0U, // SPACE
29048U, // STRBT_POST
0U, // STRBi_preidx
0U, // STRBr_preidx
0U, // STRH_preidx
29247U, // STRT_POST
0U, // STRi_preidx
0U, // STRr_preidx
0U, // SUBS_PC_LR
0U, // SUBSri
0U, // SUBSrr
0U, // SUBSrsi
0U, // SUBSrsr
0U, // TAILJMPd
0U, // TAILJMPr
0U, // TAILJMPr4
0U, // TCRETURNdi
0U, // TCRETURNri
0U, // TPsoft
0U, // UMLALv5
0U, // UMULLv5
1624165U, // VLD1LNdAsm_16
2148453U, // VLD1LNdAsm_32
2672741U, // VLD1LNdAsm_8
1624165U, // VLD1LNdWB_fixed_Asm_16
2148453U, // VLD1LNdWB_fixed_Asm_32
2672741U, // VLD1LNdWB_fixed_Asm_8
1632357U, // VLD1LNdWB_register_Asm_16
2156645U, // VLD1LNdWB_register_Asm_32
2680933U, // VLD1LNdWB_register_Asm_8
1624209U, // VLD2LNdAsm_16
2148497U, // VLD2LNdAsm_32
2672785U, // VLD2LNdAsm_8
1624209U, // VLD2LNdWB_fixed_Asm_16
2148497U, // VLD2LNdWB_fixed_Asm_32
2672785U, // VLD2LNdWB_fixed_Asm_8
1632401U, // VLD2LNdWB_register_Asm_16
2156689U, // VLD2LNdWB_register_Asm_32
2680977U, // VLD2LNdWB_register_Asm_8
1624209U, // VLD2LNqAsm_16
2148497U, // VLD2LNqAsm_32
1624209U, // VLD2LNqWB_fixed_Asm_16
2148497U, // VLD2LNqWB_fixed_Asm_32
1632401U, // VLD2LNqWB_register_Asm_16
2156689U, // VLD2LNqWB_register_Asm_32
135858353U, // VLD3DUPdAsm_16
136382641U, // VLD3DUPdAsm_32
136906929U, // VLD3DUPdAsm_8
135858353U, // VLD3DUPdWB_fixed_Asm_16
136382641U, // VLD3DUPdWB_fixed_Asm_32
136906929U, // VLD3DUPdWB_fixed_Asm_8
135841969U, // VLD3DUPdWB_register_Asm_16
136366257U, // VLD3DUPdWB_register_Asm_32
136890545U, // VLD3DUPdWB_register_Asm_8
202967217U, // VLD3DUPqAsm_16
203491505U, // VLD3DUPqAsm_32
204015793U, // VLD3DUPqAsm_8
202967217U, // VLD3DUPqWB_fixed_Asm_16
203491505U, // VLD3DUPqWB_fixed_Asm_32
204015793U, // VLD3DUPqWB_fixed_Asm_8
202950833U, // VLD3DUPqWB_register_Asm_16
203475121U, // VLD3DUPqWB_register_Asm_32
203999409U, // VLD3DUPqWB_register_Asm_8
1624241U, // VLD3LNdAsm_16
2148529U, // VLD3LNdAsm_32
2672817U, // VLD3LNdAsm_8
1624241U, // VLD3LNdWB_fixed_Asm_16
2148529U, // VLD3LNdWB_fixed_Asm_32
2672817U, // VLD3LNdWB_fixed_Asm_8
1632433U, // VLD3LNdWB_register_Asm_16
2156721U, // VLD3LNdWB_register_Asm_32
2681009U, // VLD3LNdWB_register_Asm_8
1624241U, // VLD3LNqAsm_16
2148529U, // VLD3LNqAsm_32
1624241U, // VLD3LNqWB_fixed_Asm_16
2148529U, // VLD3LNqWB_fixed_Asm_32
1632433U, // VLD3LNqWB_register_Asm_16
2156721U, // VLD3LNqWB_register_Asm_32
270076081U, // VLD3dAsm_16
270600369U, // VLD3dAsm_32
271124657U, // VLD3dAsm_8
270076081U, // VLD3dWB_fixed_Asm_16
270600369U, // VLD3dWB_fixed_Asm_32
271124657U, // VLD3dWB_fixed_Asm_8
270059697U, // VLD3dWB_register_Asm_16
270583985U, // VLD3dWB_register_Asm_32
271108273U, // VLD3dWB_register_Asm_8
337184945U, // VLD3qAsm_16
337709233U, // VLD3qAsm_32
338233521U, // VLD3qAsm_8
337184945U, // VLD3qWB_fixed_Asm_16
337709233U, // VLD3qWB_fixed_Asm_32
338233521U, // VLD3qWB_fixed_Asm_8
337168561U, // VLD3qWB_register_Asm_16
337692849U, // VLD3qWB_register_Asm_32
338217137U, // VLD3qWB_register_Asm_8
404293832U, // VLD4DUPdAsm_16
404818120U, // VLD4DUPdAsm_32
405342408U, // VLD4DUPdAsm_8
404293832U, // VLD4DUPdWB_fixed_Asm_16
404818120U, // VLD4DUPdWB_fixed_Asm_32
405342408U, // VLD4DUPdWB_fixed_Asm_8
404277448U, // VLD4DUPdWB_register_Asm_16
404801736U, // VLD4DUPdWB_register_Asm_32
405326024U, // VLD4DUPdWB_register_Asm_8
471402696U, // VLD4DUPqAsm_16
471926984U, // VLD4DUPqAsm_32
472451272U, // VLD4DUPqAsm_8
471402696U, // VLD4DUPqWB_fixed_Asm_16
471926984U, // VLD4DUPqWB_fixed_Asm_32
472451272U, // VLD4DUPqWB_fixed_Asm_8
471386312U, // VLD4DUPqWB_register_Asm_16
471910600U, // VLD4DUPqWB_register_Asm_32
472434888U, // VLD4DUPqWB_register_Asm_8
1624264U, // VLD4LNdAsm_16
2148552U, // VLD4LNdAsm_32
2672840U, // VLD4LNdAsm_8
1624264U, // VLD4LNdWB_fixed_Asm_16
2148552U, // VLD4LNdWB_fixed_Asm_32
2672840U, // VLD4LNdWB_fixed_Asm_8
1632456U, // VLD4LNdWB_register_Asm_16
2156744U, // VLD4LNdWB_register_Asm_32
2681032U, // VLD4LNdWB_register_Asm_8
1624264U, // VLD4LNqAsm_16
2148552U, // VLD4LNqAsm_32
1624264U, // VLD4LNqWB_fixed_Asm_16
2148552U, // VLD4LNqWB_fixed_Asm_32
1632456U, // VLD4LNqWB_register_Asm_16
2156744U, // VLD4LNqWB_register_Asm_32
538511560U, // VLD4dAsm_16
539035848U, // VLD4dAsm_32
539560136U, // VLD4dAsm_8
538511560U, // VLD4dWB_fixed_Asm_16
539035848U, // VLD4dWB_fixed_Asm_32
539560136U, // VLD4dWB_fixed_Asm_8
538495176U, // VLD4dWB_register_Asm_16
539019464U, // VLD4dWB_register_Asm_32
539543752U, // VLD4dWB_register_Asm_8
605620424U, // VLD4qAsm_16
606144712U, // VLD4qAsm_32
606669000U, // VLD4qAsm_8
605620424U, // VLD4qWB_fixed_Asm_16
606144712U, // VLD4qWB_fixed_Asm_32
606669000U, // VLD4qWB_fixed_Asm_8
605604040U, // VLD4qWB_register_Asm_16
606128328U, // VLD4qWB_register_Asm_32
606652616U, // VLD4qWB_register_Asm_8
0U, // VMOVD0
0U, // VMOVDcc
0U, // VMOVHcc
0U, // VMOVQ0
0U, // VMOVScc
1624176U, // VST1LNdAsm_16
2148464U, // VST1LNdAsm_32
2672752U, // VST1LNdAsm_8
1624176U, // VST1LNdWB_fixed_Asm_16
2148464U, // VST1LNdWB_fixed_Asm_32
2672752U, // VST1LNdWB_fixed_Asm_8
1632368U, // VST1LNdWB_register_Asm_16
2156656U, // VST1LNdWB_register_Asm_32
2680944U, // VST1LNdWB_register_Asm_8
1624236U, // VST2LNdAsm_16
2148524U, // VST2LNdAsm_32
2672812U, // VST2LNdAsm_8
1624236U, // VST2LNdWB_fixed_Asm_16
2148524U, // VST2LNdWB_fixed_Asm_32
2672812U, // VST2LNdWB_fixed_Asm_8
1632428U, // VST2LNdWB_register_Asm_16
2156716U, // VST2LNdWB_register_Asm_32
2681004U, // VST2LNdWB_register_Asm_8
1624236U, // VST2LNqAsm_16
2148524U, // VST2LNqAsm_32
1624236U, // VST2LNqWB_fixed_Asm_16
2148524U, // VST2LNqWB_fixed_Asm_32
1632428U, // VST2LNqWB_register_Asm_16
2156716U, // VST2LNqWB_register_Asm_32
1624252U, // VST3LNdAsm_16
2148540U, // VST3LNdAsm_32
2672828U, // VST3LNdAsm_8
1624252U, // VST3LNdWB_fixed_Asm_16
2148540U, // VST3LNdWB_fixed_Asm_32
2672828U, // VST3LNdWB_fixed_Asm_8
1632444U, // VST3LNdWB_register_Asm_16
2156732U, // VST3LNdWB_register_Asm_32
2681020U, // VST3LNdWB_register_Asm_8
1624252U, // VST3LNqAsm_16
2148540U, // VST3LNqAsm_32
1624252U, // VST3LNqWB_fixed_Asm_16
2148540U, // VST3LNqWB_fixed_Asm_32
1632444U, // VST3LNqWB_register_Asm_16
2156732U, // VST3LNqWB_register_Asm_32
270076092U, // VST3dAsm_16
270600380U, // VST3dAsm_32
271124668U, // VST3dAsm_8
270076092U, // VST3dWB_fixed_Asm_16
270600380U, // VST3dWB_fixed_Asm_32
271124668U, // VST3dWB_fixed_Asm_8
270059708U, // VST3dWB_register_Asm_16
270583996U, // VST3dWB_register_Asm_32
271108284U, // VST3dWB_register_Asm_8
337184956U, // VST3qAsm_16
337709244U, // VST3qAsm_32
338233532U, // VST3qAsm_8
337184956U, // VST3qWB_fixed_Asm_16
337709244U, // VST3qWB_fixed_Asm_32
338233532U, // VST3qWB_fixed_Asm_8
337168572U, // VST3qWB_register_Asm_16
337692860U, // VST3qWB_register_Asm_32
338217148U, // VST3qWB_register_Asm_8
1624269U, // VST4LNdAsm_16
2148557U, // VST4LNdAsm_32
2672845U, // VST4LNdAsm_8
1624269U, // VST4LNdWB_fixed_Asm_16
2148557U, // VST4LNdWB_fixed_Asm_32
2672845U, // VST4LNdWB_fixed_Asm_8
1632461U, // VST4LNdWB_register_Asm_16
2156749U, // VST4LNdWB_register_Asm_32
2681037U, // VST4LNdWB_register_Asm_8
1624269U, // VST4LNqAsm_16
2148557U, // VST4LNqAsm_32
1624269U, // VST4LNqWB_fixed_Asm_16
2148557U, // VST4LNqWB_fixed_Asm_32
1632461U, // VST4LNqWB_register_Asm_16
2156749U, // VST4LNqWB_register_Asm_32
538511565U, // VST4dAsm_16
539035853U, // VST4dAsm_32
539560141U, // VST4dAsm_8
538511565U, // VST4dWB_fixed_Asm_16
539035853U, // VST4dWB_fixed_Asm_32
539560141U, // VST4dWB_fixed_Asm_8
538495181U, // VST4dWB_register_Asm_16
539019469U, // VST4dWB_register_Asm_32
539543757U, // VST4dWB_register_Asm_8
605620429U, // VST4qAsm_16
606144717U, // VST4qAsm_32
606669005U, // VST4qAsm_8
605620429U, // VST4qWB_fixed_Asm_16
606144717U, // VST4qWB_fixed_Asm_32
606669005U, // VST4qWB_fixed_Asm_8
605604045U, // VST4qWB_register_Asm_16
606128333U, // VST4qWB_register_Asm_32
606652621U, // VST4qWB_register_Asm_8
0U, // WIN__CHKSTK
0U, // WIN__DBZCHK
0U, // t2ABS
0U, // t2ADDSri
0U, // t2ADDSrr
0U, // t2ADDSrs
0U, // t2BF_LabelPseudo
0U, // t2BR_JT
0U, // t2DoLoopStart
0U, // t2LDMIA_RET
27529U, // t2LDRBpcrel
28792U, // t2LDRConstPool
28037U, // t2LDRHpcrel
27548U, // t2LDRSBpcrel
28076U, // t2LDRSHpcrel
0U, // t2LDRpci_pic
28792U, // t2LDRpcrel
0U, // t2LEApcrel
0U, // t2LEApcrelJT
0U, // t2LoopDec
0U, // t2LoopEnd
0U, // t2MOVCCasr
0U, // t2MOVCCi
0U, // t2MOVCCi16
0U, // t2MOVCCi32imm
0U, // t2MOVCClsl
0U, // t2MOVCClsr
0U, // t2MOVCCr
0U, // t2MOVCCror
69954U, // t2MOVSsi
53570U, // t2MOVSsr
0U, // t2MOVTi16_ga_pcrel
0U, // t2MOV_ga_pcrel
0U, // t2MOVi16_ga_pcrel
0U, // t2MOVi32imm
70423U, // t2MOVsi
54039U, // t2MOVsr
0U, // t2MVNCCi
0U, // t2RSBSri
0U, // t2RSBSrs
0U, // t2STRB_preidx
0U, // t2STRH_preidx
0U, // t2STR_preidx
0U, // t2SUBSri
0U, // t2SUBSrr
0U, // t2SUBSrs
0U, // t2TBB_JT
0U, // t2TBH_JT
0U, // t2WhileLoopStart
0U, // tADCS
0U, // tADDSi3
0U, // tADDSi8
0U, // tADDSrr
0U, // tADDframe
0U, // tADJCALLSTACKDOWN
0U, // tADJCALLSTACKUP
0U, // tBL_PUSHLR
0U, // tBRIND
0U, // tBR_JTr
0U, // tBX_CALL
0U, // tBX_RET
0U, // tBX_RET_vararg
0U, // tBfar
0U, // tLDMIA_UPD
28792U, // tLDRConstPool
0U, // tLDRLIT_ga_abs
0U, // tLDRLIT_ga_pcrel
0U, // tLDR_postidx
0U, // tLDRpci_pic
0U, // tLEApcrel
0U, // tLEApcrelJT
0U, // tLSLSri
0U, // tMOVCCr_pseudo
0U, // tPOP_RET
0U, // tRSBS
0U, // tSBCS
0U, // tSUBSi3
0U, // tSUBSi8
0U, // tSUBSrr
0U, // tTAILJMPd
0U, // tTAILJMPdND
0U, // tTAILJMPr
0U, // tTBB_JT
0U, // tTBH_JT
0U, // tTPsoft
3157017U, // ADCri
3157017U, // ADCrr
3222553U, // ADCrsi
85017U, // ADCrsr
3157085U, // ADDri
3157085U, // ADDrr
3222621U, // ADDrsi
85085U, // ADDrsr
3174515U, // ADR
674850343U, // AESD
674850351U, // AESE
741959188U, // AESIMC
741959198U, // AESMC
3157150U, // ANDri
3157150U, // ANDrr
3222686U, // ANDrsi
85150U, // ANDrsr
3214369U, // BFC
3198469U, // BFI
3157030U, // BICri
3157030U, // BICrr
3222566U, // BICrsi
85030U, // BICrsr
4286245U, // BKPT
4286187U, // BL
4286299U, // BLX
3249257U, // BLX_pred
4286299U, // BLXi
3247702U, // BL_pred
4286295U, // BX
3247639U, // BXJ
4830147U, // BX_RET
3249091U, // BX_pred
3246787U, // Bcc
808570893U, // CDP
877781561U, // CDP2
5137U, // CLREX
3175616U, // CLZ
3174310U, // CMNri
3174310U, // CMNzrr
3215270U, // CMNzrsi
3198886U, // CMNzrsr
3174423U, // CMPri
3174423U, // CMPrr
3215383U, // CMPrsi
3198999U, // CMPrsr
4286229U, // CPS1p
942805287U, // CPS2p
942805287U, // CPS3p
741959275U, // CRC32B
741959283U, // CRC32CB
741959375U, // CRC32CH
741959496U, // CRC32CW
741959367U, // CRC32H
741959488U, // CRC32W
3247363U, // DBG
140938U, // DMB
140943U, // DSB
3158178U, // EORri
3158178U, // EORrr
3223714U, // EORrsi
86178U, // EORrsr
4305285U, // ERET
1012429590U, // FCONSTD
6320918U, // FCONSTH
6845206U, // FCONSTS
741372862U, // FLDMXDB_UPD
3248989U, // FLDMXIA
741372765U, // FLDMXIA_UPD
7450923U, // FMSTAT
741372870U, // FSTMXDB_UPD
3248997U, // FSTMXIA
741372773U, // FSTMXIA_UPD
3248602U, // HINT
4286240U, // HLT
4286117U, // HVC
149140U, // ISB
3172847U, // LDA
3173056U, // LDAB
3175423U, // LDAEX
3173367U, // LDAEXB
1076915386U, // LDAEXD
3173853U, // LDAEXH
3173653U, // LDAH
1148839645U, // LDC2L_OFFSET
1215948509U, // LDC2L_OPTION
1215948509U, // LDC2L_POST
1283057373U, // LDC2L_PRE
1148838432U, // LDC2_OFFSET
1215947296U, // LDC2_OPTION
1215947296U, // LDC2_POST
1283056160U, // LDC2_PRE
808504927U, // LDCL_OFFSET
808504927U, // LDCL_OPTION
808504927U, // LDCL_POST
808504927U, // LDCL_PRE
808504349U, // LDC_OFFSET
808504349U, // LDC_OPTION
808504349U, // LDC_POST
808504349U, // LDC_PRE
3246579U, // LDMDA
741370355U, // LDMDA_UPD
3246834U, // LDMDB
741370610U, // LDMDB_UPD
3247952U, // LDMIA
741371728U, // LDMIA_UPD
3246853U, // LDMIB
741370629U, // LDMIB_UPD
3207538U, // LDRBT_POST_IMM
3207538U, // LDRBT_POST_REG
3206025U, // LDRB_POST_IMM
3206025U, // LDRB_POST_REG
3197833U, // LDRB_PRE_IMM
3206025U, // LDRB_PRE_REG
3214217U, // LDRBi12
3197833U, // LDRBrs
3206307U, // LDRD
3263651U, // LDRD_POST
3263651U, // LDRD_PRE
3175435U, // LDREX
3173381U, // LDREXB
1076915400U, // LDREXD
3173867U, // LDREXH
3198341U, // LDRH
3199381U, // LDRHTi
3207573U, // LDRHTr
3206533U, // LDRH_POST
3206533U, // LDRH_PRE
3197852U, // LDRSB
3199358U, // LDRSBTi
3207550U, // LDRSBTr
3206044U, // LDRSB_POST
3206044U, // LDRSB_PRE
3198380U, // LDRSH
3199393U, // LDRSHTi
3207585U, // LDRSHTr
3206572U, // LDRSH_POST
3206572U, // LDRSH_PRE
3207732U, // LDRT_POST_IMM
3207732U, // LDRT_POST_REG
3207288U, // LDR_POST_IMM
3207288U, // LDR_POST_REG
3199096U, // LDR_PRE_IMM
3207288U, // LDR_PRE_REG
3215480U, // LDRcp
3215480U, // LDRi12
3199096U, // LDRrs
808570991U, // MCR
676454975U, // MCR2
808513706U, // MCRR
676454981U, // MCRR2
3222067U, // MLA
3199231U, // MLS
8499991U, // MOVPCLR
3215993U, // MOVTi16
3191575U, // MOVi
3175230U, // MOVi16
3191575U, // MOVr
3191575U, // MOVr_TC
3158807U, // MOVsi
3224343U, // MOVsr
1345440820U, // MRC
156198U, // MRC2
1412492344U, // MRRC
164396U, // MRRC2
3248428U, // MRS
3174700U, // MRSbanked
3248428U, // MRSsys
1479569600U, // MSR
1546678464U, // MSRbanked
1479569600U, // MSRi
3157811U, // MUL
3206928U, // MVE_ASRLi
3206928U, // MVE_ASRLr
741958611U, // MVE_DLSTP_16
741957870U, // MVE_DLSTP_32
741958220U, // MVE_DLSTP_64
741959223U, // MVE_DLSTP_8
1613869087U, // MVE_LCTP
4368133U, // MVE_LETP
3206875U, // MVE_LSLLi
3206875U, // MVE_LSLLr
3206933U, // MVE_LSRL
741412988U, // MVE_SQRSHR
3264250U, // MVE_SQRSHRL
741412486U, // MVE_SQSHL
3206847U, // MVE_SQSHLL
741412995U, // MVE_SRSHR
3206914U, // MVE_SRSHRL
741412504U, // MVE_UQRSHL
3264205U, // MVE_UQRSHLL
741412492U, // MVE_UQSHL
3206854U, // MVE_UQSHLL
741413001U, // MVE_URSHR
3206921U, // MVE_URSHRL
9097880U, // MVE_VABAVs16
9622168U, // MVE_VABAVs32
10146456U, // MVE_VABAVs8
10670744U, // MVE_VABAVu16
11195032U, // MVE_VABAVu32
11719320U, // MVE_VABAVu8
6327381U, // MVE_VABDf16
6851669U, // MVE_VABDf32
8948821U, // MVE_VABDs16
9473109U, // MVE_VABDs32
9997397U, // MVE_VABDs8
10521685U, // MVE_VABDu16
11045973U, // MVE_VABDu32
11570261U, // MVE_VABDu8
6484206U, // MVE_VABSf16
7008494U, // MVE_VABSf32
9105646U, // MVE_VABSs16
9629934U, // MVE_VABSs32
10154222U, // MVE_VABSs8
723992U, // MVE_VADC
708095U, // MVE_VADCI
9636530U, // MVE_VADDLVs32acc
9474809U, // MVE_VADDLVs32no_acc
11209394U, // MVE_VADDLVu32acc
11047673U, // MVE_VADDLVu32no_acc
8948395U, // MVE_VADDVs16acc
9106144U, // MVE_VADDVs16no_acc
9472683U, // MVE_VADDVs32acc
9630432U, // MVE_VADDVs32no_acc
9996971U, // MVE_VADDVs8acc
10154720U, // MVE_VADDVs8no_acc
10521259U, // MVE_VADDVu16acc
10679008U, // MVE_VADDVu16no_acc
11045547U, // MVE_VADDVu32acc
11203296U, // MVE_VADDVu32no_acc
11569835U, // MVE_VADDVu8acc
11727584U, // MVE_VADDVu8no_acc
6327430U, // MVE_VADD_qr_f16
6851718U, // MVE_VADD_qr_f32
1084550U, // MVE_VADD_qr_i16
560262U, // MVE_VADD_qr_i32
12094598U, // MVE_VADD_qr_i8
6327430U, // MVE_VADDf16
6851718U, // MVE_VADDf32
1084550U, // MVE_VADDi16
560262U, // MVE_VADDi32
12094598U, // MVE_VADDi8
3181725U, // MVE_VAND
3181605U, // MVE_VBIC
560165U, // MVE_VBICIZ0v4i32
1084453U, // MVE_VBICIZ0v8i16
560165U, // MVE_VBICIZ16v4i32
560165U, // MVE_VBICIZ24v4i32
560165U, // MVE_VBICIZ8v4i32
1084453U, // MVE_VBICIZ8v8i16
1609924U, // MVE_VBRSR16
2134212U, // MVE_VBRSR32
2658500U, // MVE_VBRSR8
6474849U, // MVE_VCADDf16
6999137U, // MVE_VCADDf32
1231969U, // MVE_VCADDi16
707681U, // MVE_VCADDi32
12242017U, // MVE_VCADDi8
9105656U, // MVE_VCLSs16
9629944U, // MVE_VCLSs32
10154232U, // MVE_VCLSs8
1242303U, // MVE_VCLZs16
718015U, // MVE_VCLZs32
12252351U, // MVE_VCLZs8
6490673U, // MVE_VCMLAf16
7014961U, // MVE_VCMLAf32
1684197398U, // MVE_VCMPf16
1684197398U, // MVE_VCMPf16r
1684721686U, // MVE_VCMPf32
1684721686U, // MVE_VCMPf32r
1678954518U, // MVE_VCMPi16
1678954518U, // MVE_VCMPi16r
1678430230U, // MVE_VCMPi32
1678430230U, // MVE_VCMPi32r
1689964566U, // MVE_VCMPi8
1689964566U, // MVE_VCMPi8r
1686818838U, // MVE_VCMPs16
1686818838U, // MVE_VCMPs16r
1687343126U, // MVE_VCMPs32
1687343126U, // MVE_VCMPs32r
1687867414U, // MVE_VCMPs8
1687867414U, // MVE_VCMPs8r
1688391702U, // MVE_VCMPu16
1688391702U, // MVE_VCMPu16r
1688915990U, // MVE_VCMPu32
1688915990U, // MVE_VCMPu32r
1689440278U, // MVE_VCMPu8
1689440278U, // MVE_VCMPu8r
6475569U, // MVE_VCMULf16
6999857U, // MVE_VCMULf32
739962916U, // MVE_VCTP16
740487204U, // MVE_VCTP32
750972964U, // MVE_VCTP64
741011492U, // MVE_VCTP8
684231618U, // MVE_VCVTf16f32bh
684233320U, // MVE_VCVTf16f32th
1020301940U, // MVE_VCVTf16s16_fix
1624437364U, // MVE_VCVTf16s16n
1020826228U, // MVE_VCVTf16u16_fix
1624961652U, // MVE_VCVTf16u16n
685804482U, // MVE_VCVTf32f16bh
685806184U, // MVE_VCVTf32f16th
1021874804U, // MVE_VCVTf32s32_fix
1626010228U, // MVE_VCVTf32s32n
1022399092U, // MVE_VCVTf32u32_fix
1626534516U, // MVE_VCVTf32u32n
1022923380U, // MVE_VCVTs16f16_fix
1627056767U, // MVE_VCVTs16f16a
1627058039U, // MVE_VCVTs16f16m
1627058135U, // MVE_VCVTs16f16n
1627058224U, // MVE_VCVTs16f16p
1627058804U, // MVE_VCVTs16f16z
1023447668U, // MVE_VCVTs32f32_fix
1627581055U, // MVE_VCVTs32f32a
1627582327U, // MVE_VCVTs32f32m
1627582423U, // MVE_VCVTs32f32n
1627582512U, // MVE_VCVTs32f32p
1627583092U, // MVE_VCVTs32f32z
1023971956U, // MVE_VCVTu16f16_fix
1628105343U, // MVE_VCVTu16f16a
1628106615U, // MVE_VCVTu16f16m
1628106711U, // MVE_VCVTu16f16n
1628106800U, // MVE_VCVTu16f16p
1628107380U, // MVE_VCVTu16f16z
1024496244U, // MVE_VCVTu32f32_fix
1628629631U, // MVE_VCVTu32f32a
1628630903U, // MVE_VCVTu32f32m
1628630999U, // MVE_VCVTu32f32n
1628631088U, // MVE_VCVTu32f32p
1628631668U, // MVE_VCVTu32f32z
10670134U, // MVE_VDDUPu16
11194422U, // MVE_VDDUPu32
11718710U, // MVE_VDDUPu8
1765442U, // MVE_VDUP16
2289730U, // MVE_VDUP32
2814018U, // MVE_VDUP8
10686535U, // MVE_VDWDUPu16
11210823U, // MVE_VDWDUPu32
11735111U, // MVE_VDWDUPu8
3182753U, // MVE_VEOR
6476002U, // MVE_VFMA_qr_Sf16
7000290U, // MVE_VFMA_qr_Sf32
6474312U, // MVE_VFMA_qr_f16
6998600U, // MVE_VFMA_qr_f32
6474312U, // MVE_VFMAf16
6998600U, // MVE_VFMAf32
6476046U, // MVE_VFMSf16
7000334U, // MVE_VFMSf32
8948852U, // MVE_VHADD_qr_s16
9473140U, // MVE_VHADD_qr_s32
9997428U, // MVE_VHADD_qr_s8
10521716U, // MVE_VHADD_qr_u16
11046004U, // MVE_VHADD_qr_u32
11570292U, // MVE_VHADD_qr_u8
8948852U, // MVE_VHADDs16
9473140U, // MVE_VHADDs32
9997428U, // MVE_VHADDs8
10521716U, // MVE_VHADDu16
11046004U, // MVE_VHADDu32
11570292U, // MVE_VHADDu8
9096282U, // MVE_VHCADDs16
9620570U, // MVE_VHCADDs32
10144858U, // MVE_VHCADDs8
8948696U, // MVE_VHSUB_qr_s16
9472984U, // MVE_VHSUB_qr_s32
9997272U, // MVE_VHSUB_qr_s8
10521560U, // MVE_VHSUB_qr_u16
11045848U, // MVE_VHSUB_qr_u32
11570136U, // MVE_VHSUB_qr_u8
8948696U, // MVE_VHSUBs16
9472984U, // MVE_VHSUBs32
9997272U, // MVE_VHSUBs8
10521560U, // MVE_VHSUBu16
11045848U, // MVE_VHSUBu32
11570136U, // MVE_VHSUBu8
10670140U, // MVE_VIDUPu16
11194428U, // MVE_VIDUPu32
11718716U, // MVE_VIDUPu8
10686542U, // MVE_VIWDUPu16
11210830U, // MVE_VIWDUPu32
11735118U, // MVE_VIWDUPu8
18555739U, // MVE_VLD20_16
19080027U, // MVE_VLD20_16_wb
18554881U, // MVE_VLD20_32
19079169U, // MVE_VLD20_32_wb
18556328U, // MVE_VLD20_8
19080616U, // MVE_VLD20_8_wb
18555779U, // MVE_VLD21_16
19080067U, // MVE_VLD21_16_wb
18554947U, // MVE_VLD21_32
19079235U, // MVE_VLD21_32_wb
18556364U, // MVE_VLD21_8
19080652U, // MVE_VLD21_8_wb
18563951U, // MVE_VLD40_16
19088239U, // MVE_VLD40_16_wb
18563093U, // MVE_VLD40_32
19087381U, // MVE_VLD40_32_wb
18564538U, // MVE_VLD40_8
19088826U, // MVE_VLD40_8_wb
18563991U, // MVE_VLD41_16
19088279U, // MVE_VLD41_16_wb
18563159U, // MVE_VLD41_32
19087447U, // MVE_VLD41_32_wb
18564574U, // MVE_VLD41_8
19088862U, // MVE_VLD41_8_wb
18564011U, // MVE_VLD42_16
19088299U, // MVE_VLD42_16_wb
18563205U, // MVE_VLD42_32
19087493U, // MVE_VLD42_32_wb
18564592U, // MVE_VLD42_8
19088880U, // MVE_VLD42_8_wb
18564031U, // MVE_VLD43_16
19088319U, // MVE_VLD43_16_wb
18563238U, // MVE_VLD43_32
19087526U, // MVE_VLD43_32_wb
18564610U, // MVE_VLD43_8
19088898U, // MVE_VLD43_8_wb
8948616U, // MVE_VLDRBS16
747293576U, // MVE_VLDRBS16_post
747293576U, // MVE_VLDRBS16_pre
8948616U, // MVE_VLDRBS16_rq
9472904U, // MVE_VLDRBS32
747817864U, // MVE_VLDRBS32_post
747817864U, // MVE_VLDRBS32_pre
9472904U, // MVE_VLDRBS32_rq
10521480U, // MVE_VLDRBU16
748866440U, // MVE_VLDRBU16_post
748866440U, // MVE_VLDRBU16_pre
10521480U, // MVE_VLDRBU16_rq
11045768U, // MVE_VLDRBU32
749390728U, // MVE_VLDRBU32_post
749390728U, // MVE_VLDRBU32_pre
11045768U, // MVE_VLDRBU32_rq
11570056U, // MVE_VLDRBU8
749915016U, // MVE_VLDRBU8_post
749915016U, // MVE_VLDRBU8_pre
11570056U, // MVE_VLDRBU8_rq
19434658U, // MVE_VLDRDU64_qi
757779618U, // MVE_VLDRDU64_qi_pre
19434658U, // MVE_VLDRDU64_rq
19434658U, // MVE_VLDRDU64_rq_u
9473412U, // MVE_VLDRHS32
747818372U, // MVE_VLDRHS32_post
747818372U, // MVE_VLDRHS32_pre
9473412U, // MVE_VLDRHS32_rq
9473412U, // MVE_VLDRHS32_rq_u
10521988U, // MVE_VLDRHU16
748866948U, // MVE_VLDRHU16_post
748866948U, // MVE_VLDRHU16_pre
10521988U, // MVE_VLDRHU16_rq
10521988U, // MVE_VLDRHU16_rq_u
11046276U, // MVE_VLDRHU32
749391236U, // MVE_VLDRHU32_post
749391236U, // MVE_VLDRHU32_pre
11046276U, // MVE_VLDRHU32_rq
11046276U, // MVE_VLDRHU32_rq_u
11047730U, // MVE_VLDRWU32
749392690U, // MVE_VLDRWU32_post
749392690U, // MVE_VLDRWU32_pre
11047730U, // MVE_VLDRWU32_qi
749392690U, // MVE_VLDRWU32_qi_pre
11047730U, // MVE_VLDRWU32_rq
11047730U, // MVE_VLDRWU32_rq_u
747147993U, // MVE_VMAXAVs16
747672281U, // MVE_VMAXAVs32
748196569U, // MVE_VMAXAVs8
8948410U, // MVE_VMAXAs16
9472698U, // MVE_VMAXAs32
9996986U, // MVE_VMAXAs8
744526537U, // MVE_VMAXNMAVf16
745050825U, // MVE_VMAXNMAVf32
6326875U, // MVE_VMAXNMAf16
6851163U, // MVE_VMAXNMAf32
744526600U, // MVE_VMAXNMVf16
745050888U, // MVE_VMAXNMVf32
6328155U, // MVE_VMAXNMf16
6852443U, // MVE_VMAXNMf32
747148059U, // MVE_VMAXVs16
747672347U, // MVE_VMAXVs32
748196635U, // MVE_VMAXVs8
748720923U, // MVE_VMAXVu16
749245211U, // MVE_VMAXVu32
749769499U, // MVE_VMAXVu8
8950643U, // MVE_VMAXs16
9474931U, // MVE_VMAXs32
9999219U, // MVE_VMAXs8
10523507U, // MVE_VMAXu16
11047795U, // MVE_VMAXu32
11572083U, // MVE_VMAXu8
747147986U, // MVE_VMINAVs16
747672274U, // MVE_VMINAVs32
748196562U, // MVE_VMINAVs8
8948323U, // MVE_VMINAs16
9472611U, // MVE_VMINAs32
9996899U, // MVE_VMINAs8
744526528U, // MVE_VMINNMAVf16
745050816U, // MVE_VMINNMAVf32
6326867U, // MVE_VMINNMAf16
6851155U, // MVE_VMINNMAf32
744526592U, // MVE_VMINNMVf16
745050880U, // MVE_VMINNMVf32
6328148U, // MVE_VMINNMf16
6852436U, // MVE_VMINNMf32
747148048U, // MVE_VMINVs16
747672336U, // MVE_VMINVs32
748196624U, // MVE_VMINVs8
748720912U, // MVE_VMINVu16
749245200U, // MVE_VMINVu32
749769488U, // MVE_VMINVu8
8949665U, // MVE_VMINs16
9473953U, // MVE_VMINs32
9998241U, // MVE_VMINs8
10522529U, // MVE_VMINu16
11046817U, // MVE_VMINu32
11571105U, // MVE_VMINu8
9095813U, // MVE_VMLADAVas16
9620101U, // MVE_VMLADAVas32
10144389U, // MVE_VMLADAVas8
10668677U, // MVE_VMLADAVau16
11192965U, // MVE_VMLADAVau32
11717253U, // MVE_VMLADAVau8
9098132U, // MVE_VMLADAVaxs16
9622420U, // MVE_VMLADAVaxs32
10146708U, // MVE_VMLADAVaxs8
8950430U, // MVE_VMLADAVs16
9474718U, // MVE_VMLADAVs32
9999006U, // MVE_VMLADAVs8
10523294U, // MVE_VMLADAVu16
11047582U, // MVE_VMLADAVu32
11571870U, // MVE_VMLADAVu8
8950937U, // MVE_VMLADAVxs16
9475225U, // MVE_VMLADAVxs32
9999513U, // MVE_VMLADAVxs8
9136782U, // MVE_VMLALDAVas16
9661070U, // MVE_VMLALDAVas32
10709646U, // MVE_VMLALDAVau16
11233934U, // MVE_VMLALDAVau32
9139102U, // MVE_VMLALDAVaxs16
9663390U, // MVE_VMLALDAVaxs32
9097894U, // MVE_VMLALDAVs16
9622182U, // MVE_VMLALDAVs32
10670758U, // MVE_VMLALDAVu16
11195046U, // MVE_VMLALDAVu32
9098402U, // MVE_VMLALDAVxs16
9622690U, // MVE_VMLALDAVxs32
9097436U, // MVE_VMLAS_qr_s16
9621724U, // MVE_VMLAS_qr_s32
10146012U, // MVE_VMLAS_qr_s8
10670300U, // MVE_VMLAS_qr_u16
11194588U, // MVE_VMLAS_qr_u32
11718876U, // MVE_VMLAS_qr_u8
9095747U, // MVE_VMLA_qr_s16
9620035U, // MVE_VMLA_qr_s32
10144323U, // MVE_VMLA_qr_s8
10668611U, // MVE_VMLA_qr_u16
11192899U, // MVE_VMLA_qr_u32
11717187U, // MVE_VMLA_qr_u8
9095842U, // MVE_VMLSDAVas16
9620130U, // MVE_VMLSDAVas32
10144418U, // MVE_VMLSDAVas8
9098164U, // MVE_VMLSDAVaxs16
9622452U, // MVE_VMLSDAVaxs32
10146740U, // MVE_VMLSDAVaxs8
8950456U, // MVE_VMLSDAVs16
9474744U, // MVE_VMLSDAVs32
9999032U, // MVE_VMLSDAVs8
8950966U, // MVE_VMLSDAVxs16
9475254U, // MVE_VMLSDAVxs32
9999542U, // MVE_VMLSDAVxs8
9136792U, // MVE_VMLSLDAVas16
9661080U, // MVE_VMLSLDAVas32
9139113U, // MVE_VMLSLDAVaxs16
9663401U, // MVE_VMLSLDAVaxs32
9097903U, // MVE_VMLSLDAVs16
9622191U, // MVE_VMLSLDAVs32
9098412U, // MVE_VMLSLDAVxs16
9622700U, // MVE_VMLSLDAVxs32
9104173U, // MVE_VMOVLs16bh
9105870U, // MVE_VMOVLs16th
10152749U, // MVE_VMOVLs8bh
10154446U, // MVE_VMOVLs8th
10677037U, // MVE_VMOVLu16bh
10678734U, // MVE_VMOVLu16th
11725613U, // MVE_VMOVLu8bh
11727310U, // MVE_VMOVLu8th
1084284U, // MVE_VMOVNi16bh
1085987U, // MVE_VMOVNi16th
559996U, // MVE_VMOVNi32bh
561699U, // MVE_VMOVNi32th
2167574U, // MVE_VMOV_from_lane_32
8983318U, // MVE_VMOV_from_lane_s16
10031894U, // MVE_VMOV_from_lane_s8
10556182U, // MVE_VMOV_from_lane_u16
11604758U, // MVE_VMOV_from_lane_u8
3265302U, // MVE_VMOV_q_rr
3207958U, // MVE_VMOV_rr_q
1626902U, // MVE_VMOV_to_lane_16
2151190U, // MVE_VMOV_to_lane_32
2675478U, // MVE_VMOV_to_lane_8
7009046U, // MVE_VMOVimmf32
1241878U, // MVE_VMOVimmi16
717590U, // MVE_VMOVimmi32
1764946710U, // MVE_VMOVimmi64
12251926U, // MVE_VMOVimmi8
8949118U, // MVE_VMULHs16
9473406U, // MVE_VMULHs32
9997694U, // MVE_VMULHs8
10521982U, // MVE_VMULHu16
11046270U, // MVE_VMULHu32
11570558U, // MVE_VMULHu8
20482849U, // MVE_VMULLBp16
21007137U, // MVE_VMULLBp8
8948513U, // MVE_VMULLBs16
9472801U, // MVE_VMULLBs32
9997089U, // MVE_VMULLBs8
10521377U, // MVE_VMULLBu16
11045665U, // MVE_VMULLBu32
11569953U, // MVE_VMULLBu8
20484551U, // MVE_VMULLTp16
21008839U, // MVE_VMULLTp8
8950215U, // MVE_VMULLTs16
9474503U, // MVE_VMULLTs32
9998791U, // MVE_VMULLTs8
10523079U, // MVE_VMULLTu16
11047367U, // MVE_VMULLTu32
11571655U, // MVE_VMULLTu8
6328131U, // MVE_VMUL_qr_f16
6852419U, // MVE_VMUL_qr_f32
1085251U, // MVE_VMUL_qr_i16
560963U, // MVE_VMUL_qr_i32
12095299U, // MVE_VMUL_qr_i8
6328131U, // MVE_VMULf16
6852419U, // MVE_VMULf32
1085251U, // MVE_VMULi16
560963U, // MVE_VMULi32
12095299U, // MVE_VMULi8
3338230U, // MVE_VMVN
1241078U, // MVE_VMVNimmi16
716790U, // MVE_VMVNimmi32
6483213U, // MVE_VNEGf16
7007501U, // MVE_VNEGf32
9104653U, // MVE_VNEGs16
9628941U, // MVE_VNEGs32
10153229U, // MVE_VNEGs8
3182534U, // MVE_VORN
3182767U, // MVE_VORR
561327U, // MVE_VORRIZ0v4i32
1085615U, // MVE_VORRIZ0v8i16
561327U, // MVE_VORRIZ16v4i32
561327U, // MVE_VORRIZ24v4i32
561327U, // MVE_VORRIZ8v4i32
1085615U, // MVE_VORRIZ8v8i16
1613951530U, // MVE_VPNOT
3182204U, // MVE_VPSEL
1613992516U, // MVE_VPST
1690014256U, // MVE_VPTv16i8
1690014256U, // MVE_VPTv16i8r
1687917104U, // MVE_VPTv16s8
1687917104U, // MVE_VPTv16s8r
1689489968U, // MVE_VPTv16u8
1689489968U, // MVE_VPTv16u8r
1684771376U, // MVE_VPTv4f32
1684771376U, // MVE_VPTv4f32r
1678479920U, // MVE_VPTv4i32
1678479920U, // MVE_VPTv4i32r
1687392816U, // MVE_VPTv4s32
1687392816U, // MVE_VPTv4s32r
1688965680U, // MVE_VPTv4u32
1688965680U, // MVE_VPTv4u32r
1684247088U, // MVE_VPTv8f16
1684247088U, // MVE_VPTv8f16r
1679004208U, // MVE_VPTv8i16
1679004208U, // MVE_VPTv8i16r
1686868528U, // MVE_VPTv8s16
1686868528U, // MVE_VPTv8s16r
1688441392U, // MVE_VPTv8u16
1688441392U, // MVE_VPTv8u16r
9105640U, // MVE_VQABSs16
9629928U, // MVE_VQABSs32
10154216U, // MVE_VQABSs8
8948864U, // MVE_VQADD_qr_s16
9473152U, // MVE_VQADD_qr_s32
9997440U, // MVE_VQADD_qr_s8
10521728U, // MVE_VQADD_qr_u16
11046016U, // MVE_VQADD_qr_u32
11570304U, // MVE_VQADD_qr_u8
8948864U, // MVE_VQADDs16
9473152U, // MVE_VQADDs32
9997440U, // MVE_VQADDs8
10521728U, // MVE_VQADDu16
11046016U, // MVE_VQADDu32
11570304U, // MVE_VQADDu8
9098279U, // MVE_VQDMLADHXs16
9622567U, // MVE_VQDMLADHXs32
10146855U, // MVE_VQDMLADHXs8
9096507U, // MVE_VQDMLADHs16
9620795U, // MVE_VQDMLADHs32
10145083U, // MVE_VQDMLADHs8
9096474U, // MVE_VQDMLAH_qrs16
9620762U, // MVE_VQDMLAH_qrs32
10145050U, // MVE_VQDMLAH_qrs8
9096592U, // MVE_VQDMLASH_qrs16
9620880U, // MVE_VQDMLASH_qrs32
10145168U, // MVE_VQDMLASH_qrs8
9098300U, // MVE_VQDMLSDHXs16
9622588U, // MVE_VQDMLSDHXs32
10146876U, // MVE_VQDMLSDHXs8
9096526U, // MVE_VQDMLSDHs16
9620814U, // MVE_VQDMLSDHs32
10145102U, // MVE_VQDMLSDHs8
8949094U, // MVE_VQDMULH_qr_s16
9473382U, // MVE_VQDMULH_qr_s32
9997670U, // MVE_VQDMULH_qr_s8
8949094U, // MVE_VQDMULHi16
9473382U, // MVE_VQDMULHi32
9997670U, // MVE_VQDMULHi8
8948504U, // MVE_VQDMULL_qr_s16bh
8950206U, // MVE_VQDMULL_qr_s16th
9472792U, // MVE_VQDMULL_qr_s32bh
9474494U, // MVE_VQDMULL_qr_s32th
8948504U, // MVE_VQDMULLs16bh
8950206U, // MVE_VQDMULLs16th
9472792U, // MVE_VQDMULLs32bh
9474494U, // MVE_VQDMULLs32th
8948596U, // MVE_VQMOVNs16bh
8950299U, // MVE_VQMOVNs16th
9472884U, // MVE_VQMOVNs32bh
9474587U, // MVE_VQMOVNs32th
10521460U, // MVE_VQMOVNu16bh
10523163U, // MVE_VQMOVNu16th
11045748U, // MVE_VQMOVNu32bh
11047451U, // MVE_VQMOVNu32th
8948587U, // MVE_VQMOVUNs16bh
8950290U, // MVE_VQMOVUNs16th
9472875U, // MVE_VQMOVUNs32bh
9474578U, // MVE_VQMOVUNs32th
9104647U, // MVE_VQNEGs16
9628935U, // MVE_VQNEGs32
10153223U, // MVE_VQNEGs8
9098289U, // MVE_VQRDMLADHXs16
9622577U, // MVE_VQRDMLADHXs32
10146865U, // MVE_VQRDMLADHXs8
9096516U, // MVE_VQRDMLADHs16
9620804U, // MVE_VQRDMLADHs32
10145092U, // MVE_VQRDMLADHs8
9096482U, // MVE_VQRDMLAH_qrs16
9620770U, // MVE_VQRDMLAH_qrs32
10145058U, // MVE_VQRDMLAH_qrs8
9096601U, // MVE_VQRDMLASH_qrs16
9620889U, // MVE_VQRDMLASH_qrs32
10145177U, // MVE_VQRDMLASH_qrs8
9098310U, // MVE_VQRDMLSDHXs16
9622598U, // MVE_VQRDMLSDHXs32
10146886U, // MVE_VQRDMLSDHXs8
9096535U, // MVE_VQRDMLSDHs16
9620823U, // MVE_VQRDMLSDHs32
10145111U, // MVE_VQRDMLSDHs8
8949102U, // MVE_VQRDMULH_qr_s16
9473390U, // MVE_VQRDMULH_qr_s32
9997678U, // MVE_VQRDMULH_qr_s8
8949102U, // MVE_VQRDMULHi16
9473390U, // MVE_VQRDMULHi32
9997678U, // MVE_VQRDMULHi8
8949407U, // MVE_VQRSHL_by_vecs16
9473695U, // MVE_VQRSHL_by_vecs32
9997983U, // MVE_VQRSHL_by_vecs8
10522271U, // MVE_VQRSHL_by_vecu16
11046559U, // MVE_VQRSHL_by_vecu32
11570847U, // MVE_VQRSHL_by_vecu8
8949407U, // MVE_VQRSHL_qrs16
9473695U, // MVE_VQRSHL_qrs32
9997983U, // MVE_VQRSHL_qrs8
10522271U, // MVE_VQRSHL_qru16
11046559U, // MVE_VQRSHL_qru32
11570847U, // MVE_VQRSHL_qru8
9096000U, // MVE_VQRSHRNbhs16
9620288U, // MVE_VQRSHRNbhs32
10668864U, // MVE_VQRSHRNbhu16
11193152U, // MVE_VQRSHRNbhu32
9097703U, // MVE_VQRSHRNths16
9621991U, // MVE_VQRSHRNths32
10670567U, // MVE_VQRSHRNthu16
11194855U, // MVE_VQRSHRNthu32
9096033U, // MVE_VQRSHRUNs16bh
9097736U, // MVE_VQRSHRUNs16th
9620321U, // MVE_VQRSHRUNs32bh
9622024U, // MVE_VQRSHRUNs32th
8950417U, // MVE_VQSHLU_imms16
9474705U, // MVE_VQSHLU_imms32
9998993U, // MVE_VQSHLU_imms8
8949394U, // MVE_VQSHL_by_vecs16
9473682U, // MVE_VQSHL_by_vecs32
9997970U, // MVE_VQSHL_by_vecs8
10522258U, // MVE_VQSHL_by_vecu16
11046546U, // MVE_VQSHL_by_vecu32
11570834U, // MVE_VQSHL_by_vecu8
8949394U, // MVE_VQSHL_qrs16
9473682U, // MVE_VQSHL_qrs32
9997970U, // MVE_VQSHL_qrs8
10522258U, // MVE_VQSHL_qru16
11046546U, // MVE_VQSHL_qru32
11570834U, // MVE_VQSHL_qru8
8949394U, // MVE_VQSHLimms16
9473682U, // MVE_VQSHLimms32
9997970U, // MVE_VQSHLimms8
10522258U, // MVE_VQSHLimmu16
11046546U, // MVE_VQSHLimmu32
11570834U, // MVE_VQSHLimmu8
9095992U, // MVE_VQSHRNbhs16
9620280U, // MVE_VQSHRNbhs32
10668856U, // MVE_VQSHRNbhu16
11193144U, // MVE_VQSHRNbhu32
9097695U, // MVE_VQSHRNths16
9621983U, // MVE_VQSHRNths32
10670559U, // MVE_VQSHRNthu16
11194847U, // MVE_VQSHRNthu32
9096024U, // MVE_VQSHRUNs16bh
9097727U, // MVE_VQSHRUNs16th
9620312U, // MVE_VQSHRUNs32bh
9622015U, // MVE_VQSHRUNs32th
8948702U, // MVE_VQSUB_qr_s16
9472990U, // MVE_VQSUB_qr_s32
9997278U, // MVE_VQSUB_qr_s8
10521566U, // MVE_VQSUB_qr_u16
11045854U, // MVE_VQSUB_qr_u32
11570142U, // MVE_VQSUB_qr_u8
8948702U, // MVE_VQSUBs16
9472990U, // MVE_VQSUBs32
9997278U, // MVE_VQSUBs8
10521566U, // MVE_VQSUBu16
11045854U, // MVE_VQSUBu32
11570142U, // MVE_VQSUBu8
2812234U, // MVE_VREV16_8
1763445U, // MVE_VREV32_16
2812021U, // MVE_VREV32_8
1763521U, // MVE_VREV64_16
2287809U, // MVE_VREV64_32
2812097U, // MVE_VREV64_8
8948845U, // MVE_VRHADDs16
9473133U, // MVE_VRHADDs32
9997421U, // MVE_VRHADDs8
10521709U, // MVE_VRHADDu16
11045997U, // MVE_VRHADDu32
11570285U, // MVE_VRHADDu8
6482548U, // MVE_VRINTf16A
6483818U, // MVE_VRINTf16M
6483920U, // MVE_VRINTf16N
6484009U, // MVE_VRINTf16P
6485138U, // MVE_VRINTf16X
6485188U, // MVE_VRINTf16Z
7006836U, // MVE_VRINTf32A
7008106U, // MVE_VRINTf32M
7008208U, // MVE_VRINTf32N
7008297U, // MVE_VRINTf32P
7009426U, // MVE_VRINTf32X
7009476U, // MVE_VRINTf32Z
9660927U, // MVE_VRMLALDAVHas32
11233791U, // MVE_VRMLALDAVHau32
9663299U, // MVE_VRMLALDAVHaxs32
9620935U, // MVE_VRMLALDAVHs32
11193799U, // MVE_VRMLALDAVHu32
9622609U, // MVE_VRMLALDAVHxs32
9660939U, // MVE_VRMLSLDAVHas32
9663312U, // MVE_VRMLSLDAVHaxs32
9620946U, // MVE_VRMLSLDAVHs32
9622621U, // MVE_VRMLSLDAVHxs32
8949111U, // MVE_VRMULHs16
9473399U, // MVE_VRMULHs32
9997687U, // MVE_VRMULHs8
10521975U, // MVE_VRMULHu16
11046263U, // MVE_VRMULHu32
11570551U, // MVE_VRMULHu8
8949414U, // MVE_VRSHL_by_vecs16
9473702U, // MVE_VRSHL_by_vecs32
9997990U, // MVE_VRSHL_by_vecs8
10522278U, // MVE_VRSHL_by_vecu16
11046566U, // MVE_VRSHL_by_vecu32
11570854U, // MVE_VRSHL_by_vecu8
8949414U, // MVE_VRSHL_qrs16
9473702U, // MVE_VRSHL_qrs32
9997990U, // MVE_VRSHL_qrs8
10522278U, // MVE_VRSHL_qru16
11046566U, // MVE_VRSHL_qru32
11570854U, // MVE_VRSHL_qru8
1231689U, // MVE_VRSHRNi16bh
1233392U, // MVE_VRSHRNi16th
707401U, // MVE_VRSHRNi32bh
709104U, // MVE_VRSHRNi32th
8949903U, // MVE_VRSHR_imms16
9474191U, // MVE_VRSHR_imms32
9998479U, // MVE_VRSHR_imms8
10522767U, // MVE_VRSHR_immu16
11047055U, // MVE_VRSHR_immu32
11571343U, // MVE_VRSHR_immu8
723987U, // MVE_VSBC
708089U, // MVE_VSBCI
674434090U, // MVE_VSHLC
8948497U, // MVE_VSHLL_imms16bh
8950199U, // MVE_VSHLL_imms16th
9997073U, // MVE_VSHLL_imms8bh
9998775U, // MVE_VSHLL_imms8th
10521361U, // MVE_VSHLL_immu16bh
10523063U, // MVE_VSHLL_immu16th
11569937U, // MVE_VSHLL_immu8bh
11571639U, // MVE_VSHLL_immu8th
9104145U, // MVE_VSHLL_lws16bh
9105847U, // MVE_VSHLL_lws16th
10152721U, // MVE_VSHLL_lws8bh
10154423U, // MVE_VSHLL_lws8th
10677009U, // MVE_VSHLL_lwu16bh
10678711U, // MVE_VSHLL_lwu16th
11725585U, // MVE_VSHLL_lwu8bh
11727287U, // MVE_VSHLL_lwu8th
8949420U, // MVE_VSHL_by_vecs16
9473708U, // MVE_VSHL_by_vecs32
9997996U, // MVE_VSHL_by_vecs8
10522284U, // MVE_VSHL_by_vecu16
11046572U, // MVE_VSHL_by_vecu32
11570860U, // MVE_VSHL_by_vecu8
1085100U, // MVE_VSHL_immi16
560812U, // MVE_VSHL_immi32
12095148U, // MVE_VSHL_immi8
8949420U, // MVE_VSHL_qrs16
9473708U, // MVE_VSHL_qrs32
9997996U, // MVE_VSHL_qrs8
10522284U, // MVE_VSHL_qru16
11046572U, // MVE_VSHL_qru32
11570860U, // MVE_VSHL_qru8
1231697U, // MVE_VSHRNi16bh
1233400U, // MVE_VSHRNi16th
707409U, // MVE_VSHRNi32bh
709112U, // MVE_VSHRNi32th
8949909U, // MVE_VSHR_imms16
9474197U, // MVE_VSHR_imms32
9998485U, // MVE_VSHR_imms8
10522773U, // MVE_VSHR_immu16
11047061U, // MVE_VSHR_immu32
11571349U, // MVE_VSHR_immu8
1756685U, // MVE_VSLIimm16
2280973U, // MVE_VSLIimm32
2805261U, // MVE_VSLIimm8
1756690U, // MVE_VSRIimm16
2280978U, // MVE_VSRIimm32
2805266U, // MVE_VSRIimm8
21701477U, // MVE_VST20_16
238437U, // MVE_VST20_16_wb
21700619U, // MVE_VST20_32
237579U, // MVE_VST20_32_wb
21702065U, // MVE_VST20_8
239025U, // MVE_VST20_8_wb
21701517U, // MVE_VST21_16
238477U, // MVE_VST21_16_wb
21700685U, // MVE_VST21_32
237645U, // MVE_VST21_32_wb
21702101U, // MVE_VST21_8
239061U, // MVE_VST21_8_wb
21709689U, // MVE_VST40_16
246649U, // MVE_VST40_16_wb
21708831U, // MVE_VST40_32
245791U, // MVE_VST40_32_wb
21710275U, // MVE_VST40_8
247235U, // MVE_VST40_8_wb
21709729U, // MVE_VST41_16
246689U, // MVE_VST41_16_wb
21708897U, // MVE_VST41_32
245857U, // MVE_VST41_32_wb
21710311U, // MVE_VST41_8
247271U, // MVE_VST41_8_wb
21709749U, // MVE_VST42_16
246709U, // MVE_VST42_16_wb
21708943U, // MVE_VST42_32
245903U, // MVE_VST42_32_wb
21710329U, // MVE_VST42_8
247289U, // MVE_VST42_8_wb
21709769U, // MVE_VST43_16
246729U, // MVE_VST43_16_wb
21708976U, // MVE_VST43_32
245936U, // MVE_VST43_32_wb
21710347U, // MVE_VST43_8
247307U, // MVE_VST43_8_wb
1608590U, // MVE_VSTRB16
739953550U, // MVE_VSTRB16_post
739953550U, // MVE_VSTRB16_pre
1608590U, // MVE_VSTRB16_rq
2132878U, // MVE_VSTRB32
740477838U, // MVE_VSTRB32_post
740477838U, // MVE_VSTRB32_pre
2132878U, // MVE_VSTRB32_rq
2657166U, // MVE_VSTRB8_rq
2657166U, // MVE_VSTRBU8
741002126U, // MVE_VSTRBU8_post
741002126U, // MVE_VSTRBU8_pre
12618920U, // MVE_VSTRD64_qi
750963880U, // MVE_VSTRD64_qi_pre
12618920U, // MVE_VSTRD64_rq
12618920U, // MVE_VSTRD64_rq_u
1609098U, // MVE_VSTRH16_rq
1609098U, // MVE_VSTRH16_rq_u
2133386U, // MVE_VSTRH32
740478346U, // MVE_VSTRH32_post
740478346U, // MVE_VSTRH32_pre
2133386U, // MVE_VSTRH32_rq
2133386U, // MVE_VSTRH32_rq_u
1609098U, // MVE_VSTRHU16
739954058U, // MVE_VSTRHU16_post
739954058U, // MVE_VSTRHU16_pre
2134840U, // MVE_VSTRW32_qi
740479800U, // MVE_VSTRW32_qi_pre
2134840U, // MVE_VSTRW32_rq
2134840U, // MVE_VSTRW32_rq_u
2134840U, // MVE_VSTRWU32
740479800U, // MVE_VSTRWU32_post
740479800U, // MVE_VSTRWU32_pre
6327268U, // MVE_VSUB_qr_f16
6851556U, // MVE_VSUB_qr_f32
1084388U, // MVE_VSUB_qr_i16
560100U, // MVE_VSUB_qr_i32
12094436U, // MVE_VSUB_qr_i8
6327268U, // MVE_VSUBf16
6851556U, // MVE_VSUBf32
1084388U, // MVE_VSUBi16
560100U, // MVE_VSUBi32
12094436U, // MVE_VSUBi8
741958621U, // MVE_WLSTP_16
741957880U, // MVE_WLSTP_32
741958230U, // MVE_WLSTP_64
741959232U, // MVE_WLSTP_8
3190775U, // MVNi
3190775U, // MVNr
3158007U, // MVNsi
3223543U, // MVNsr
741958068U, // NEON_VMAXNMNDf
741958951U, // NEON_VMAXNMNDh
741958068U, // NEON_VMAXNMNQf
741958951U, // NEON_VMAXNMNQh
741958056U, // NEON_VMINNMNDf
741958939U, // NEON_VMINNMNDh
741958056U, // NEON_VMINNMNQf
741958939U, // NEON_VMINNMNQh
3158192U, // ORRri
3158192U, // ORRrr
3223728U, // ORRrsi
86192U, // ORRrsr
3199325U, // PKHBT
3197869U, // PKHTB
255825U, // PLDWi12
264017U, // PLDWrs
255658U, // PLDi12
263850U, // PLDrs
255704U, // PLIi12
263896U, // PLIrs
3214465U, // QADD
3213607U, // QADD16
3213710U, // QADD8
3216515U, // QASX
3214439U, // QDADD
3214290U, // QDSUB
3216261U, // QSAX
3214303U, // QSUB
3213569U, // QSUB16
3213671U, // QSUB8
3174824U, // RBIT
3175142U, // REV
3172683U, // REV16
3173815U, // REVSH
4286045U, // RFEDA
22111837U, // RFEDA_UPD
4286076U, // RFEDB
22111868U, // RFEDB_UPD
4286052U, // RFEIA
22111844U, // RFEIA_UPD
4286083U, // RFEIB
22111875U, // RFEIB_UPD
3156894U, // RSBri
3156894U, // RSBrr
3222430U, // RSBrsi
84894U, // RSBrsr
3157053U, // RSCri
3157053U, // RSCrr
3222589U, // RSCrsi
85053U, // RSCrsr
3213614U, // SADD16
3213716U, // SADD8
3216520U, // SASX
2965U, // SB
3157012U, // SBCri
3157012U, // SBCrr
3222548U, // SBCrsi
85012U, // SBCrsr
3200029U, // SBFX
3216106U, // SDIV
3214974U, // SEL
272047U, // SETEND
4286205U, // SETPAN
674848954U, // SHA1C
741957828U, // SHA1H
674848986U, // SHA1M
674848996U, // SHA1P
674848809U, // SHA1SU0
674848875U, // SHA1SU1
674848974U, // SHA256H
674848921U, // SHA256H2
674848821U, // SHA256SU0
674848887U, // SHA256SU1
3213590U, // SHADD16
3213695U, // SHADD8
3216502U, // SHASX
3216248U, // SHSAX
3213552U, // SHSUB16
3213656U, // SHSUB8
3247152U, // SMC
3197649U, // SMLABB
3199318U, // SMLABT
3198025U, // SMLAD
3199955U, // SMLADX
282178U, // SMLAL
3263192U, // SMLALBB
3264867U, // SMLALBT
3263627U, // SMLALD
3265505U, // SMLALDX
3263411U, // SMLALTB
3265109U, // SMLALTT
3197862U, // SMLATB
3199566U, // SMLATT
3197929U, // SMLAWB
3199614U, // SMLAWT
3198126U, // SMLSD
3199985U, // SMLSDX
3263638U, // SMLSLD
3265513U, // SMLSLDX
3197495U, // SMMLA
3199080U, // SMMLAR
3199229U, // SMMLS
3199160U, // SMMLSR
3215159U, // SMMUL
3215514U, // SMMULR
3214415U, // SMUAD
3216346U, // SMUADX
3214048U, // SMULBB
3215723U, // SMULBT
3223272U, // SMULL
3214267U, // SMULTB
3215965U, // SMULTT
3214320U, // SMULWB
3216005U, // SMULWT
3214516U, // SMUSD
3216376U, // SMUSDX
4286363U, // SRSDA
4286315U, // SRSDA_UPD
4286385U, // SRSDB
4286339U, // SRSDB_UPD
4286374U, // SRSIA
4286327U, // SRSIA_UPD
4286396U, // SRSIB
4286351U, // SRSIB_UPD
3199303U, // SSAT
3213628U, // SSAT16
3216266U, // SSAX
3213576U, // SSUB16
3213677U, // SSUB8
1148839652U, // STC2L_OFFSET
1215948516U, // STC2L_OPTION
1215948516U, // STC2L_POST
1283057380U, // STC2L_PRE
1148838451U, // STC2_OFFSET
1215947315U, // STC2_OPTION
1215947315U, // STC2_POST
1283056179U, // STC2_PRE
808504932U, // STCL_OFFSET
808504932U, // STCL_OPTION
808504932U, // STCL_POST
808504932U, // STCL_PRE
808504385U, // STC_OFFSET
808504385U, // STC_OPTION
808504385U, // STC_POST
808504385U, // STC_PRE
3174189U, // STL
3173160U, // STLB
3216389U, // STLEX
3214334U, // STLEXB
3214529U, // STLEXD
3214820U, // STLEXH
3173729U, // STLH
3246585U, // STMDA
741370361U, // STMDA_UPD
3246841U, // STMDB
741370617U, // STMDB_UPD
3247987U, // STMIA
741371763U, // STMIA_UPD
3246859U, // STMIB
741370635U, // STMIB_UPD
741405048U, // STRBT_POST_IMM
741405048U, // STRBT_POST_REG
741403535U, // STRB_POST_IMM
741403535U, // STRB_POST_REG
741395343U, // STRB_PRE_IMM
741403535U, // STRB_PRE_REG
3214223U, // STRBi12
3197839U, // STRBrs
3206313U, // STRD
741461161U, // STRD_POST
741461161U, // STRD_PRE
3216407U, // STREX
3214348U, // STREXB
3214543U, // STREXD
3214834U, // STREXH
3198347U, // STRH
741396891U, // STRHTi
741405083U, // STRHTr
741404043U, // STRH_POST
741404043U, // STRH_PRE
741405247U, // STRT_POST_IMM
741405247U, // STRT_POST_REG
741404882U, // STR_POST_IMM
741404882U, // STR_POST_REG
741396690U, // STR_PRE_IMM
741404882U, // STR_PRE_REG
3215570U, // STRi12
3199186U, // STRrs
3156948U, // SUBri
3156948U, // SUBrr
3222484U, // SUBrsi
84948U, // SUBrsr
3247173U, // SVC
3215446U, // SWP
3214211U, // SWPB
3197637U, // SXTAB
3197138U, // SXTAB16
3198251U, // SXTAH
3214280U, // SXTB
3213538U, // SXTB16
3214781U, // SXTH
3174500U, // TEQri
3174500U, // TEQrr
3215460U, // TEQrsi
3199076U, // TEQrsr
4104U, // TRAP
4104U, // TRAPNaCl
288409U, // TSB
3174986U, // TSTri
3174986U, // TSTrr
3215946U, // TSTrsi
3199562U, // TSTrsr
3213621U, // UADD16
3213722U, // UADD8
3216525U, // UASX
3200034U, // UBFX
4286139U, // UDF
3216111U, // UDIV
3213598U, // UHADD16
3213702U, // UHADD8
3216508U, // UHASX
3216254U, // UHSAX
3213560U, // UHSUB16
3213663U, // UHSUB8
3264039U, // UMAAL
282184U, // UMLAL
3223278U, // UMULL
3213606U, // UQADD16
3213709U, // UQADD8
3216514U, // UQASX
3216260U, // UQSAX
3213568U, // UQSUB16
3213670U, // UQSUB8
3213689U, // USAD8
3197265U, // USADA8
3199308U, // USAT
3213635U, // USAT16
3216271U, // USAX
3213583U, // USUB16
3213683U, // USUB8
3197643U, // UXTAB
3197146U, // UXTAB16
3198257U, // UXTAH
3214285U, // UXTB
3213545U, // UXTB16
3214786U, // UXTH
9489965U, // VABALsv2i64
8965677U, // VABALsv4i32
10014253U, // VABALsv8i16
11062829U, // VABALuv2i64
10538541U, // VABALuv4i32
11587117U, // VABALuv8i16
10013162U, // VABAsv16i8
9488874U, // VABAsv2i32
8964586U, // VABAsv4i16
9488874U, // VABAsv4i32
8964586U, // VABAsv8i16
10013162U, // VABAsv8i8
11586026U, // VABAuv16i8
11061738U, // VABAuv2i32
10537450U, // VABAuv4i16
11061738U, // VABAuv4i32
10537450U, // VABAuv8i16
11586026U, // VABAuv8i8
9506409U, // VABDLsv2i64
8982121U, // VABDLsv4i32
10030697U, // VABDLsv8i16
11079273U, // VABDLuv2i64
10554985U, // VABDLuv4i32
11603561U, // VABDLuv8i16
6884437U, // VABDfd
6884437U, // VABDfq
6360149U, // VABDhd
6360149U, // VABDhq
10030165U, // VABDsv16i8
9505877U, // VABDsv2i32
8981589U, // VABDsv4i16
9505877U, // VABDsv4i32
8981589U, // VABDsv8i16
10030165U, // VABDsv8i8
11603029U, // VABDuv16i8
11078741U, // VABDuv2i32
10554453U, // VABDuv4i16
11078741U, // VABDuv4i32
10554453U, // VABDuv8i16
11603029U, // VABDuv8i8
1012429038U, // VABSD
6320366U, // VABSH
6844654U, // VABSS
6844654U, // VABSfd
6844654U, // VABSfq
6320366U, // VABShd
6320366U, // VABShq
9990382U, // VABSv16i8
9466094U, // VABSv2i32
8941806U, // VABSv4i16
9466094U, // VABSv4i32
8941806U, // VABSv8i16
9990382U, // VABSv8i8
6884566U, // VACGEfd
6884566U, // VACGEfq
6360278U, // VACGEhd
6360278U, // VACGEhq
6885770U, // VACGTfd
6885770U, // VACGTfq
6361482U, // VACGThd
6361482U, // VACGThq
1012468870U, // VADDD
6360198U, // VADDH
758189972U, // VADDHNv2i32
593812U, // VADDHNv4i16
1118100U, // VADDHNv8i8
9506422U, // VADDLsv2i64
8982134U, // VADDLsv4i32
10030710U, // VADDLsv8i16
11079286U, // VADDLuv2i64
10554998U, // VADDLuv4i32
11603574U, // VADDLuv8i16
6884486U, // VADDS
9507623U, // VADDWsv2i64
8983335U, // VADDWsv4i32
10031911U, // VADDWsv8i16
11080487U, // VADDWuv2i64
10556199U, // VADDWuv4i32
11604775U, // VADDWuv8i16
6884486U, // VADDfd
6884486U, // VADDfq
6360198U, // VADDhd
6360198U, // VADDhq
12127366U, // VADDv16i8
758189190U, // VADDv1i64
593030U, // VADDv2i32
758189190U, // VADDv2i64
1117318U, // VADDv4i16
593030U, // VADDv4i32
1117318U, // VADDv8i16
12127366U, // VADDv8i8
3214493U, // VANDd
3214493U, // VANDq
3214373U, // VBICd
592933U, // VBICiv2i32
1117221U, // VBICiv4i16
592933U, // VBICiv4i32
1117221U, // VBICiv8i16
3214373U, // VBICq
3198206U, // VBIFd
3198206U, // VBIFq
3199405U, // VBITd
3199405U, // VBITq
3198746U, // VBSLd
3198746U, // VBSLq
741958033U, // VCADDv2f32
741958894U, // VCADDv4f16
741958033U, // VCADDv4f32
741958894U, // VCADDv8f16
6885471U, // VCEQfd
6885471U, // VCEQfq
6361183U, // VCEQhd
6361183U, // VCEQhq
12128351U, // VCEQv16i8
594015U, // VCEQv2i32
1118303U, // VCEQv4i16
594015U, // VCEQv4i32
1118303U, // VCEQv8i16
12128351U, // VCEQv8i8
12087391U, // VCEQzv16i8
6844511U, // VCEQzv2f32
553055U, // VCEQzv2i32
6320223U, // VCEQzv4f16
6844511U, // VCEQzv4f32
1077343U, // VCEQzv4i16
553055U, // VCEQzv4i32
6320223U, // VCEQzv8f16
1077343U, // VCEQzv8i16
12087391U, // VCEQzv8i8
6884572U, // VCGEfd
6884572U, // VCGEfq
6360284U, // VCGEhd
6360284U, // VCGEhq
10030300U, // VCGEsv16i8
9506012U, // VCGEsv2i32
8981724U, // VCGEsv4i16
9506012U, // VCGEsv4i32
8981724U, // VCGEsv8i16
10030300U, // VCGEsv8i8
11603164U, // VCGEuv16i8
11078876U, // VCGEuv2i32
10554588U, // VCGEuv4i16
11078876U, // VCGEuv4i32
10554588U, // VCGEuv8i16
11603164U, // VCGEuv8i8
9989340U, // VCGEzv16i8
6843612U, // VCGEzv2f32
9465052U, // VCGEzv2i32
6319324U, // VCGEzv4f16
6843612U, // VCGEzv4f32
8940764U, // VCGEzv4i16
9465052U, // VCGEzv4i32
6319324U, // VCGEzv8f16
8940764U, // VCGEzv8i16
9989340U, // VCGEzv8i8
6885776U, // VCGTfd
6885776U, // VCGTfq
6361488U, // VCGThd
6361488U, // VCGThq
10031504U, // VCGTsv16i8
9507216U, // VCGTsv2i32
8982928U, // VCGTsv4i16
9507216U, // VCGTsv4i32
8982928U, // VCGTsv8i16
10031504U, // VCGTsv8i8
11604368U, // VCGTuv16i8
11080080U, // VCGTuv2i32
10555792U, // VCGTuv4i16
11080080U, // VCGTuv4i32
10555792U, // VCGTuv8i16
11604368U, // VCGTuv8i8
9990544U, // VCGTzv16i8
6844816U, // VCGTzv2f32
9466256U, // VCGTzv2i32
6320528U, // VCGTzv4f16
6844816U, // VCGTzv4f32
8941968U, // VCGTzv4i16
9466256U, // VCGTzv4i32
6320528U, // VCGTzv8f16
8941968U, // VCGTzv8i16
9990544U, // VCGTzv8i8
9989345U, // VCLEzv16i8
6843617U, // VCLEzv2f32
9465057U, // VCLEzv2i32
6319329U, // VCLEzv4f16
6843617U, // VCLEzv4f32
8940769U, // VCLEzv4i16
9465057U, // VCLEzv4i32
6319329U, // VCLEzv8f16
8940769U, // VCLEzv8i16
9989345U, // VCLEzv8i8
9990392U, // VCLSv16i8
9466104U, // VCLSv2i32
8941816U, // VCLSv4i16
9466104U, // VCLSv4i32
8941816U, // VCLSv8i16
9990392U, // VCLSv8i8
9990578U, // VCLTzv16i8
6844850U, // VCLTzv2f32
9466290U, // VCLTzv2i32
6320562U, // VCLTzv4f16
6844850U, // VCLTzv4f32
8942002U, // VCLTzv4i16
9466290U, // VCLTzv4i32
6320562U, // VCLTzv8f16
8942002U, // VCLTzv8i16
9990578U, // VCLTzv8i8
12088511U, // VCLZv16i8
554175U, // VCLZv2i32
1078463U, // VCLZv4i16
554175U, // VCLZv4i32
1078463U, // VCLZv8i16
12088511U, // VCLZv8i8
674849146U, // VCMLAv2f32
674849146U, // VCMLAv2f32_indexed
674850007U, // VCMLAv4f16
674850007U, // VCMLAv4f16_indexed
674849146U, // VCMLAv4f32
674849146U, // VCMLAv4f32_indexed
674850007U, // VCMLAv8f16
674850007U, // VCMLAv8f16_indexed
1012428822U, // VCMPD
1012428013U, // VCMPED
6319341U, // VCMPEH
6843629U, // VCMPES
1817808109U, // VCMPEZD
6393069U, // VCMPEZH
6917357U, // VCMPEZS
6320150U, // VCMPH
6844438U, // VCMPS
1817808918U, // VCMPZD
6393878U, // VCMPZH
6918166U, // VCMPZS
2650581U, // VCNTd
2650581U, // VCNTq
741957890U, // VCVTANSDf
741958751U, // VCVTANSDh
741957890U, // VCVTANSQf
741958751U, // VCVTANSQh
741957950U, // VCVTANUDf
741958811U, // VCVTANUDh
741957950U, // VCVTANUQf
741958811U, // VCVTANUQh
741958240U, // VCVTASD
741958631U, // VCVTASH
741957890U, // VCVTASS
741958300U, // VCVTAUD
741958691U, // VCVTAUH
741957950U, // VCVTAUS
22571970U, // VCVTBDH
23096258U, // VCVTBHD
752905154U, // VCVTBHS
751332290U, // VCVTBSH
23622260U, // VCVTDS
741957905U, // VCVTMNSDf
741958766U, // VCVTMNSDh
741957905U, // VCVTMNSQf
741958766U, // VCVTMNSQh
741957965U, // VCVTMNUDf
741958826U, // VCVTMNUDh
741957965U, // VCVTMNUQf
741958826U, // VCVTMNUQh
741958255U, // VCVTMSD
741958646U, // VCVTMSH
741957905U, // VCVTMSS
741958315U, // VCVTMUD
741958706U, // VCVTMUH
741957965U, // VCVTMUS
741957920U, // VCVTNNSDf
741958781U, // VCVTNNSDh
741957920U, // VCVTNNSQf
741958781U, // VCVTNNSQh
741957980U, // VCVTNNUDf
741958841U, // VCVTNNUDh
741957980U, // VCVTNNUQf
741958841U, // VCVTNNUQh
741958270U, // VCVTNSD
741958661U, // VCVTNSH
741957920U, // VCVTNSS
741958330U, // VCVTNUD
741958721U, // VCVTNUH
741957980U, // VCVTNUS
741957935U, // VCVTPNSDf
741958796U, // VCVTPNSDh
741957935U, // VCVTPNSQf
741958796U, // VCVTPNSQh
741957995U, // VCVTPNUDf
741958856U, // VCVTPNUDh
741957995U, // VCVTPNUQf
741958856U, // VCVTPNUQh
741958285U, // VCVTPSD
741958676U, // VCVTPSH
741957935U, // VCVTPSS
741958345U, // VCVTPUD
741958736U, // VCVTPUH
741957995U, // VCVTPUS
24146548U, // VCVTSD
22573672U, // VCVTTDH
23097960U, // VCVTTHD
752906856U, // VCVTTHS
751333992U, // VCVTTSH
751334004U, // VCVTf2h
1627419252U, // VCVTf2sd
1627419252U, // VCVTf2sq
1628467828U, // VCVTf2ud
1628467828U, // VCVTf2uq
1023480436U, // VCVTf2xsd
1023480436U, // VCVTf2xsq
1024529012U, // VCVTf2xud
1024529012U, // VCVTf2xuq
752906868U, // VCVTh2f
1626894964U, // VCVTh2sd
1626894964U, // VCVTh2sq
1627943540U, // VCVTh2ud
1627943540U, // VCVTh2uq
1022956148U, // VCVTh2xsd
1022956148U, // VCVTh2xsq
1024004724U, // VCVTh2xud
1024004724U, // VCVTh2xuq
1625846388U, // VCVTs2fd
1625846388U, // VCVTs2fq
1624273524U, // VCVTs2hd
1624273524U, // VCVTs2hq
1626370676U, // VCVTu2fd
1626370676U, // VCVTu2fq
1624797812U, // VCVTu2hd
1624797812U, // VCVTu2hq
1021907572U, // VCVTxs2fd
1021907572U, // VCVTxs2fq
1020334708U, // VCVTxs2hd
1020334708U, // VCVTxs2hq
1022431860U, // VCVTxu2fd
1022431860U, // VCVTxu2fq
1020858996U, // VCVTxu2hd
1020858996U, // VCVTxu2hq
1012470516U, // VDIVD
6361844U, // VDIVH
6886132U, // VDIVS
1601602U, // VDUP16d
1601602U, // VDUP16q
2125890U, // VDUP32d
2125890U, // VDUP32q
2650178U, // VDUP8d
2650178U, // VDUP8q
1642562U, // VDUPLN16d
1642562U, // VDUPLN16q
2166850U, // VDUPLN32d
2166850U, // VDUPLN32q
2691138U, // VDUPLN8d
2691138U, // VDUPLN8q
3215521U, // VEORd
3215521U, // VEORq
1626764U, // VEXTd16
2151052U, // VEXTd32
2675340U, // VEXTd8
1626764U, // VEXTq16
2151052U, // VEXTq32
12636812U, // VEXTq64
2675340U, // VEXTq8
1012451912U, // VFMAD
6343240U, // VFMAH
741958917U, // VFMALD
741958917U, // VFMALDI
741958917U, // VFMALQ
741958917U, // VFMALQI
6867528U, // VFMAS
6867528U, // VFMAfd
6867528U, // VFMAfq
6343240U, // VFMAhd
6343240U, // VFMAhq
1012453646U, // VFMSD
6344974U, // VFMSH
741958928U, // VFMSLD
741958928U, // VFMSLDI
741958928U, // VFMSLQ
741958928U, // VFMSLQI
6869262U, // VFMSS
6869262U, // VFMSfd
6869262U, // VFMSfq
6344974U, // VFMShd
6344974U, // VFMShq
1012451917U, // VFNMAD
6343245U, // VFNMAH
6867533U, // VFNMAS
1012453651U, // VFNMSD
6344979U, // VFNMSH
6869267U, // VFNMSS
741958396U, // VFP_VMAXNMD
741958951U, // VFP_VMAXNMH
741958068U, // VFP_VMAXNMS
741958384U, // VFP_VMINNMD
741958939U, // VFP_VMINNMH
741958056U, // VFP_VMINNMS
2167574U, // VGETLNi32
8983318U, // VGETLNs16
10031894U, // VGETLNs8
10556182U, // VGETLNu16
11604758U, // VGETLNu8
10030196U, // VHADDsv16i8
9505908U, // VHADDsv2i32
8981620U, // VHADDsv4i16
9505908U, // VHADDsv4i32
8981620U, // VHADDsv8i16
10030196U, // VHADDsv8i8
11603060U, // VHADDuv16i8
11078772U, // VHADDuv2i32
10554484U, // VHADDuv4i16
11078772U, // VHADDuv4i32
10554484U, // VHADDuv8i16
11603060U, // VHADDuv8i8
10030040U, // VHSUBsv16i8
9505752U, // VHSUBsv2i32
8981464U, // VHSUBsv4i16
9505752U, // VHSUBsv4i32
8981464U, // VHSUBsv8i16
10030040U, // VHSUBsv8i8
11602904U, // VHSUBuv16i8
11078616U, // VHSUBuv2i32
10554328U, // VHSUBuv4i16
11078616U, // VHSUBuv4i32
10554328U, // VHSUBuv8i16
11602904U, // VHSUBuv8i8
741959011U, // VINSH
1635283566U, // VJCVT
1880688741U, // VLD1DUPd16
1880672357U, // VLD1DUPd16wb_fixed
1880680549U, // VLD1DUPd16wb_register
1881213029U, // VLD1DUPd32
1881196645U, // VLD1DUPd32wb_fixed
1881204837U, // VLD1DUPd32wb_register
1881737317U, // VLD1DUPd8
1881720933U, // VLD1DUPd8wb_fixed
1881729125U, // VLD1DUPd8wb_register
1947797605U, // VLD1DUPq16
1947781221U, // VLD1DUPq16wb_fixed
1947789413U, // VLD1DUPq16wb_register
1948321893U, // VLD1DUPq32
1948305509U, // VLD1DUPq32wb_fixed
1948313701U, // VLD1DUPq32wb_register
1948846181U, // VLD1DUPq8
1948829797U, // VLD1DUPq8wb_fixed
1948837989U, // VLD1DUPq8wb_register
25225317U, // VLD1LNd16
25462885U, // VLD1LNd16_UPD
25749605U, // VLD1LNd32
25987173U, // VLD1LNd32_UPD
26273893U, // VLD1LNd8
26511461U, // VLD1LNd8_UPD
0U, // VLD1LNq16Pseudo
0U, // VLD1LNq16Pseudo_UPD
0U, // VLD1LNq32Pseudo
0U, // VLD1LNq32Pseudo_UPD
0U, // VLD1LNq8Pseudo
0U, // VLD1LNq8Pseudo_UPD
2014906469U, // VLD1d16
538511461U, // VLD1d16Q
0U, // VLD1d16QPseudo
538495077U, // VLD1d16Qwb_fixed
538503269U, // VLD1d16Qwb_register
270076005U, // VLD1d16T
0U, // VLD1d16TPseudo
270059621U, // VLD1d16Twb_fixed
270067813U, // VLD1d16Twb_register
2014890085U, // VLD1d16wb_fixed
2014898277U, // VLD1d16wb_register
2015430757U, // VLD1d32
539035749U, // VLD1d32Q
0U, // VLD1d32QPseudo
539019365U, // VLD1d32Qwb_fixed
539027557U, // VLD1d32Qwb_register
270600293U, // VLD1d32T
0U, // VLD1d32TPseudo
270583909U, // VLD1d32Twb_fixed
270592101U, // VLD1d32Twb_register
2015414373U, // VLD1d32wb_fixed
2015422565U, // VLD1d32wb_register
2025916517U, // VLD1d64
549521509U, // VLD1d64Q
0U, // VLD1d64QPseudo
0U, // VLD1d64QPseudoWB_fixed
0U, // VLD1d64QPseudoWB_register
549505125U, // VLD1d64Qwb_fixed
549513317U, // VLD1d64Qwb_register
281086053U, // VLD1d64T
0U, // VLD1d64TPseudo
0U, // VLD1d64TPseudoWB_fixed
0U, // VLD1d64TPseudoWB_register
281069669U, // VLD1d64Twb_fixed
281077861U, // VLD1d64Twb_register
2025900133U, // VLD1d64wb_fixed
2025908325U, // VLD1d64wb_register
2015955045U, // VLD1d8
539560037U, // VLD1d8Q
0U, // VLD1d8QPseudo
539543653U, // VLD1d8Qwb_fixed
539551845U, // VLD1d8Qwb_register
271124581U, // VLD1d8T
0U, // VLD1d8TPseudo
271108197U, // VLD1d8Twb_fixed
271116389U, // VLD1d8Twb_register
2015938661U, // VLD1d8wb_fixed
2015946853U, // VLD1d8wb_register
2082015333U, // VLD1q16
0U, // VLD1q16HighQPseudo
0U, // VLD1q16HighTPseudo
0U, // VLD1q16LowQPseudo_UPD
0U, // VLD1q16LowTPseudo_UPD
2081998949U, // VLD1q16wb_fixed
2082007141U, // VLD1q16wb_register
2082539621U, // VLD1q32
0U, // VLD1q32HighQPseudo
0U, // VLD1q32HighTPseudo
0U, // VLD1q32LowQPseudo_UPD
0U, // VLD1q32LowTPseudo_UPD
2082523237U, // VLD1q32wb_fixed
2082531429U, // VLD1q32wb_register
2093025381U, // VLD1q64
0U, // VLD1q64HighQPseudo
0U, // VLD1q64HighTPseudo
0U, // VLD1q64LowQPseudo_UPD
0U, // VLD1q64LowTPseudo_UPD
2093008997U, // VLD1q64wb_fixed
2093017189U, // VLD1q64wb_register
2083063909U, // VLD1q8
0U, // VLD1q8HighQPseudo
0U, // VLD1q8HighTPseudo
0U, // VLD1q8LowQPseudo_UPD
0U, // VLD1q8LowTPseudo_UPD
2083047525U, // VLD1q8wb_fixed
2083055717U, // VLD1q8wb_register
1947797649U, // VLD2DUPd16
1947781265U, // VLD2DUPd16wb_fixed
1947789457U, // VLD2DUPd16wb_register
2149124241U, // VLD2DUPd16x2
2149107857U, // VLD2DUPd16x2wb_fixed
2149116049U, // VLD2DUPd16x2wb_register
1948321937U, // VLD2DUPd32
1948305553U, // VLD2DUPd32wb_fixed
1948313745U, // VLD2DUPd32wb_register
2149648529U, // VLD2DUPd32x2
2149632145U, // VLD2DUPd32x2wb_fixed
2149640337U, // VLD2DUPd32x2wb_register
1948846225U, // VLD2DUPd8
1948829841U, // VLD2DUPd8wb_fixed
1948838033U, // VLD2DUPd8wb_register
2150172817U, // VLD2DUPd8x2
2150156433U, // VLD2DUPd8x2wb_fixed
2150164625U, // VLD2DUPd8x2wb_register
0U, // VLD2DUPq16EvenPseudo
0U, // VLD2DUPq16OddPseudo
0U, // VLD2DUPq32EvenPseudo
0U, // VLD2DUPq32OddPseudo
0U, // VLD2DUPq8EvenPseudo
0U, // VLD2DUPq8OddPseudo
25462929U, // VLD2LNd16
0U, // VLD2LNd16Pseudo
0U, // VLD2LNd16Pseudo_UPD
25471121U, // VLD2LNd16_UPD
25987217U, // VLD2LNd32
0U, // VLD2LNd32Pseudo
0U, // VLD2LNd32Pseudo_UPD
25995409U, // VLD2LNd32_UPD
26511505U, // VLD2LNd8
0U, // VLD2LNd8Pseudo
0U, // VLD2LNd8Pseudo_UPD
26519697U, // VLD2LNd8_UPD
25462929U, // VLD2LNq16
0U, // VLD2LNq16Pseudo
0U, // VLD2LNq16Pseudo_UPD
25471121U, // VLD2LNq16_UPD
25987217U, // VLD2LNq32
0U, // VLD2LNq32Pseudo
0U, // VLD2LNq32Pseudo_UPD
25995409U, // VLD2LNq32_UPD
2216233105U, // VLD2b16
2216216721U, // VLD2b16wb_fixed
2216224913U, // VLD2b16wb_register
2216757393U, // VLD2b32
2216741009U, // VLD2b32wb_fixed
2216749201U, // VLD2b32wb_register
2217281681U, // VLD2b8
2217265297U, // VLD2b8wb_fixed
2217273489U, // VLD2b8wb_register
2082015377U, // VLD2d16
2081998993U, // VLD2d16wb_fixed
2082007185U, // VLD2d16wb_register
2082539665U, // VLD2d32
2082523281U, // VLD2d32wb_fixed
2082531473U, // VLD2d32wb_register
2083063953U, // VLD2d8
2083047569U, // VLD2d8wb_fixed
2083055761U, // VLD2d8wb_register
538511505U, // VLD2q16
0U, // VLD2q16Pseudo
0U, // VLD2q16PseudoWB_fixed
0U, // VLD2q16PseudoWB_register
538495121U, // VLD2q16wb_fixed
538503313U, // VLD2q16wb_register
539035793U, // VLD2q32
0U, // VLD2q32Pseudo
0U, // VLD2q32PseudoWB_fixed
0U, // VLD2q32PseudoWB_register
539019409U, // VLD2q32wb_fixed
539027601U, // VLD2q32wb_register
539560081U, // VLD2q8
0U, // VLD2q8Pseudo
0U, // VLD2q8PseudoWB_fixed
0U, // VLD2q8PseudoWB_register
539543697U, // VLD2q8wb_fixed
539551889U, // VLD2q8wb_register
25225393U, // VLD3DUPd16
0U, // VLD3DUPd16Pseudo
0U, // VLD3DUPd16Pseudo_UPD
25462961U, // VLD3DUPd16_UPD
25749681U, // VLD3DUPd32
0U, // VLD3DUPd32Pseudo
0U, // VLD3DUPd32Pseudo_UPD
25987249U, // VLD3DUPd32_UPD
26273969U, // VLD3DUPd8
0U, // VLD3DUPd8Pseudo
0U, // VLD3DUPd8Pseudo_UPD
26511537U, // VLD3DUPd8_UPD
25225393U, // VLD3DUPq16
0U, // VLD3DUPq16EvenPseudo
0U, // VLD3DUPq16OddPseudo
25462961U, // VLD3DUPq16_UPD
25749681U, // VLD3DUPq32
0U, // VLD3DUPq32EvenPseudo
0U, // VLD3DUPq32OddPseudo
25987249U, // VLD3DUPq32_UPD
26273969U, // VLD3DUPq8
0U, // VLD3DUPq8EvenPseudo
0U, // VLD3DUPq8OddPseudo
26511537U, // VLD3DUPq8_UPD
25471153U, // VLD3LNd16
0U, // VLD3LNd16Pseudo
0U, // VLD3LNd16Pseudo_UPD
25479345U, // VLD3LNd16_UPD
25995441U, // VLD3LNd32
0U, // VLD3LNd32Pseudo
0U, // VLD3LNd32Pseudo_UPD
26003633U, // VLD3LNd32_UPD
26519729U, // VLD3LNd8
0U, // VLD3LNd8Pseudo
0U, // VLD3LNd8Pseudo_UPD
26527921U, // VLD3LNd8_UPD
25471153U, // VLD3LNq16
0U, // VLD3LNq16Pseudo
0U, // VLD3LNq16Pseudo_UPD
25479345U, // VLD3LNq16_UPD
25995441U, // VLD3LNq32
0U, // VLD3LNq32Pseudo
0U, // VLD3LNq32Pseudo_UPD
26003633U, // VLD3LNq32_UPD
25225393U, // VLD3d16
0U, // VLD3d16Pseudo
0U, // VLD3d16Pseudo_UPD
25462961U, // VLD3d16_UPD
25749681U, // VLD3d32
0U, // VLD3d32Pseudo
0U, // VLD3d32Pseudo_UPD
25987249U, // VLD3d32_UPD
26273969U, // VLD3d8
0U, // VLD3d8Pseudo
0U, // VLD3d8Pseudo_UPD
26511537U, // VLD3d8_UPD
25225393U, // VLD3q16
0U, // VLD3q16Pseudo_UPD
25462961U, // VLD3q16_UPD
0U, // VLD3q16oddPseudo
0U, // VLD3q16oddPseudo_UPD
25749681U, // VLD3q32
0U, // VLD3q32Pseudo_UPD
25987249U, // VLD3q32_UPD
0U, // VLD3q32oddPseudo
0U, // VLD3q32oddPseudo_UPD
26273969U, // VLD3q8
0U, // VLD3q8Pseudo_UPD
26511537U, // VLD3q8_UPD
0U, // VLD3q8oddPseudo
0U, // VLD3q8oddPseudo_UPD
25282760U, // VLD4DUPd16
0U, // VLD4DUPd16Pseudo
0U, // VLD4DUPd16Pseudo_UPD
25487560U, // VLD4DUPd16_UPD
25807048U, // VLD4DUPd32
0U, // VLD4DUPd32Pseudo
0U, // VLD4DUPd32Pseudo_UPD
26011848U, // VLD4DUPd32_UPD
26331336U, // VLD4DUPd8
0U, // VLD4DUPd8Pseudo
0U, // VLD4DUPd8Pseudo_UPD
26536136U, // VLD4DUPd8_UPD
25282760U, // VLD4DUPq16
0U, // VLD4DUPq16EvenPseudo
0U, // VLD4DUPq16OddPseudo
25487560U, // VLD4DUPq16_UPD
25807048U, // VLD4DUPq32
0U, // VLD4DUPq32EvenPseudo
0U, // VLD4DUPq32OddPseudo
26011848U, // VLD4DUPq32_UPD
26331336U, // VLD4DUPq8
0U, // VLD4DUPq8EvenPseudo
0U, // VLD4DUPq8OddPseudo
26536136U, // VLD4DUPq8_UPD
25479368U, // VLD4LNd16
0U, // VLD4LNd16Pseudo
0U, // VLD4LNd16Pseudo_UPD
25495752U, // VLD4LNd16_UPD
26003656U, // VLD4LNd32
0U, // VLD4LNd32Pseudo
0U, // VLD4LNd32Pseudo_UPD
26020040U, // VLD4LNd32_UPD
26527944U, // VLD4LNd8
0U, // VLD4LNd8Pseudo
0U, // VLD4LNd8Pseudo_UPD
26544328U, // VLD4LNd8_UPD
25479368U, // VLD4LNq16
0U, // VLD4LNq16Pseudo
0U, // VLD4LNq16Pseudo_UPD
25495752U, // VLD4LNq16_UPD
26003656U, // VLD4LNq32
0U, // VLD4LNq32Pseudo
0U, // VLD4LNq32Pseudo_UPD
26020040U, // VLD4LNq32_UPD
25282760U, // VLD4d16
0U, // VLD4d16Pseudo
0U, // VLD4d16Pseudo_UPD
25487560U, // VLD4d16_UPD
25807048U, // VLD4d32
0U, // VLD4d32Pseudo
0U, // VLD4d32Pseudo_UPD
26011848U, // VLD4d32_UPD
26331336U, // VLD4d8
0U, // VLD4d8Pseudo
0U, // VLD4d8Pseudo_UPD
26536136U, // VLD4d8_UPD
25282760U, // VLD4q16
0U, // VLD4q16Pseudo_UPD
25487560U, // VLD4q16_UPD
0U, // VLD4q16oddPseudo
0U, // VLD4q16oddPseudo_UPD
25807048U, // VLD4q32
0U, // VLD4q32Pseudo_UPD
26011848U, // VLD4q32_UPD
0U, // VLD4q32oddPseudo
0U, // VLD4q32oddPseudo_UPD
26331336U, // VLD4q8
0U, // VLD4q8Pseudo_UPD
26536136U, // VLD4q8_UPD
0U, // VLD4q8oddPseudo
0U, // VLD4q8oddPseudo_UPD
741370609U, // VLDMDDB_UPD
3246621U, // VLDMDIA
741370397U, // VLDMDIA_UPD
0U, // VLDMQIA
741370609U, // VLDMSDB_UPD
3246621U, // VLDMSIA
741370397U, // VLDMSIA_UPD
3215479U, // VLDRD
1642615U, // VLDRH
3215479U, // VLDRS
2308468855U, // VLDR_FPCXTNS_off
2375618679U, // VLDR_FPCXTNS_post
2442727543U, // VLDR_FPCXTNS_pre
2308993143U, // VLDR_FPCXTS_off
2376142967U, // VLDR_FPCXTS_post
2443251831U, // VLDR_FPCXTS_pre
2309517431U, // VLDR_FPSCR_NZCVQC_off
2376667255U, // VLDR_FPSCR_NZCVQC_post
2443776119U, // VLDR_FPSCR_NZCVQC_pre
2310041719U, // VLDR_FPSCR_off
2377191543U, // VLDR_FPSCR_post
2444300407U, // VLDR_FPSCR_pre
2511933559U, // VLDR_P0_off
1236848759U, // VLDR_P0_post
2579026039U, // VLDR_P0_pre
2311090295U, // VLDR_VPR_off
2378240119U, // VLDR_VPR_post
2445348983U, // VLDR_VPR_pre
3247950U, // VLLDM
3247985U, // VLSTM
6886259U, // VMAXfd
6886259U, // VMAXfq
6361971U, // VMAXhd
6361971U, // VMAXhq
10031987U, // VMAXsv16i8
9507699U, // VMAXsv2i32
8983411U, // VMAXsv4i16
9507699U, // VMAXsv4i32
8983411U, // VMAXsv8i16
10031987U, // VMAXsv8i8
11604851U, // VMAXuv16i8
11080563U, // VMAXuv2i32
10556275U, // VMAXuv4i16
11080563U, // VMAXuv4i32
10556275U, // VMAXuv8i16
11604851U, // VMAXuv8i8
6885281U, // VMINfd
6885281U, // VMINfq
6360993U, // VMINhd
6360993U, // VMINhq
10031009U, // VMINsv16i8
9506721U, // VMINsv2i32
8982433U, // VMINsv4i16
9506721U, // VMINsv4i32
8982433U, // VMINsv8i16
10031009U, // VMINsv8i8
11603873U, // VMINuv16i8
11079585U, // VMINuv2i32
10555297U, // VMINuv4i16
11079585U, // VMINuv4i32
10555297U, // VMINuv8i16
11603873U, // VMINuv8i8
1012451907U, // VMLAD
6343235U, // VMLAH
9498190U, // VMLALslsv2i32
8973902U, // VMLALslsv4i16
11071054U, // VMLALsluv2i32
10546766U, // VMLALsluv4i16
9489998U, // VMLALsv2i64
8965710U, // VMLALsv4i32
10014286U, // VMLALsv8i16
11062862U, // VMLALuv2i64
10538574U, // VMLALuv4i32
11587150U, // VMLALuv8i16
6867523U, // VMLAS
6867523U, // VMLAfd
6867523U, // VMLAfq
6343235U, // VMLAhd
6343235U, // VMLAhq
6875715U, // VMLAslfd
6875715U, // VMLAslfq
6351427U, // VMLAslhd
6351427U, // VMLAslhq
584259U, // VMLAslv2i32
1108547U, // VMLAslv4i16
584259U, // VMLAslv4i32
1108547U, // VMLAslv8i16
12110403U, // VMLAv16i8
576067U, // VMLAv2i32
1100355U, // VMLAv4i16
576067U, // VMLAv4i32
1100355U, // VMLAv8i16
12110403U, // VMLAv8i8
1012453641U, // VMLSD
6344969U, // VMLSH
9498407U, // VMLSLslsv2i32
8974119U, // VMLSLslsv4i16
11071271U, // VMLSLsluv2i32
10546983U, // VMLSLsluv4i16
9490215U, // VMLSLsv2i64
8965927U, // VMLSLsv4i32
10014503U, // VMLSLsv8i16
11063079U, // VMLSLuv2i64
10538791U, // VMLSLuv4i32
11587367U, // VMLSLuv8i16
6869257U, // VMLSS
6869257U, // VMLSfd
6869257U, // VMLSfq
6344969U, // VMLShd
6344969U, // VMLShq
6877449U, // VMLSslfd
6877449U, // VMLSslfq
6353161U, // VMLSslhd
6353161U, // VMLSslhq
585993U, // VMLSslv2i32
1110281U, // VMLSslv4i16
585993U, // VMLSslv4i32
1110281U, // VMLSslv8i16
12112137U, // VMLSv16i8
577801U, // VMLSv2i32
1102089U, // VMLSv4i16
577801U, // VMLSv4i32
1102089U, // VMLSv8i16
12112137U, // VMLSv8i8
1012429590U, // VMOVD
3216150U, // VMOVDRR
741959057U, // VMOVH
6320918U, // VMOVHR
9465672U, // VMOVLsv2i64
8941384U, // VMOVLsv4i32
9989960U, // VMOVLsv8i16
11038536U, // VMOVLuv2i64
10514248U, // VMOVLuv4i32
11562824U, // VMOVLuv8i16
758149122U, // VMOVNv2i32
552962U, // VMOVNv4i16
1077250U, // VMOVNv8i8
6320918U, // VMOVRH
3216150U, // VMOVRRD
3199766U, // VMOVRRS
3175190U, // VMOVRS
6845206U, // VMOVS
3175190U, // VMOVSR
3199766U, // VMOVSRR
12088086U, // VMOVv16i8
1764782870U, // VMOVv1i64
6845206U, // VMOVv2f32
553750U, // VMOVv2i32
1764782870U, // VMOVv2i64
6845206U, // VMOVv4f32
1078038U, // VMOVv4i16
553750U, // VMOVv4i32
1078038U, // VMOVv8i16
12088086U, // VMOVv8i8
3248427U, // VMRS
3248427U, // VMRS_FPCXTNS
3248427U, // VMRS_FPCXTS
3248427U, // VMRS_FPEXC
3248427U, // VMRS_FPINST
3248427U, // VMRS_FPINST2
3174699U, // VMRS_FPSCR_NZCVQC
3248427U, // VMRS_FPSID
3248427U, // VMRS_MVFR0
3248427U, // VMRS_MVFR1
3248427U, // VMRS_MVFR2
3174699U, // VMRS_P0
3248427U, // VMRS_VPR
28414143U, // VMSR
26841279U, // VMSR_FPCXTNS
27365567U, // VMSR_FPCXTS
29987007U, // VMSR_FPEXC
30511295U, // VMSR_FPINST
31035583U, // VMSR_FPINST2
766013631U, // VMSR_FPSCR_NZCVQC
31559871U, // VMSR_FPSID
767062207U, // VMSR_P0
29462719U, // VMSR_VPR
1012469571U, // VMULD
6360899U, // VMULH
741958480U, // VMULLp64
21040884U, // VMULLp8
9490164U, // VMULLslsv2i32
8965876U, // VMULLslsv4i16
11063028U, // VMULLsluv2i32
10538740U, // VMULLsluv4i16
9506548U, // VMULLsv2i64
8982260U, // VMULLsv4i32
10030836U, // VMULLsv8i16
11079412U, // VMULLuv2i64
10555124U, // VMULLuv4i32
11603700U, // VMULLuv8i16
6885187U, // VMULS
6885187U, // VMULfd
6885187U, // VMULfq
6360899U, // VMULhd
6360899U, // VMULhq
21040963U, // VMULpd
21040963U, // VMULpq
6868803U, // VMULslfd
6868803U, // VMULslfq
6344515U, // VMULslhd
6344515U, // VMULslhq
577347U, // VMULslv2i32
1101635U, // VMULslv4i16
577347U, // VMULslv4i32
1101635U, // VMULslv8i16
12128067U, // VMULv16i8
593731U, // VMULv2i32
1118019U, // VMULv4i16
593731U, // VMULv4i32
1118019U, // VMULv8i16
12128067U, // VMULv8i8
3174390U, // VMVNd
3174390U, // VMVNq
552950U, // VMVNv2i32
1077238U, // VMVNv4i16
552950U, // VMVNv4i32
1077238U, // VMVNv8i16
1012428045U, // VNEGD
6319373U, // VNEGH
6843661U, // VNEGS
6843661U, // VNEGf32q
6843661U, // VNEGfd
6319373U, // VNEGhd
6319373U, // VNEGhq
8940813U, // VNEGs16d
8940813U, // VNEGs16q
9465101U, // VNEGs32d
9465101U, // VNEGs32q
9989389U, // VNEGs8d
9989389U, // VNEGs8q
1012451901U, // VNMLAD
6343229U, // VNMLAH
6867517U, // VNMLAS
1012453635U, // VNMLSD
6344963U, // VNMLSH
6869251U, // VNMLSS
1012469565U, // VNMULD
6360893U, // VNMULH
6885181U, // VNMULS
3215302U, // VORNd
3215302U, // VORNq
3215535U, // VORRd
594095U, // VORRiv2i32
1118383U, // VORRiv4i16
594095U, // VORRiv4i32
1118383U, // VORRiv8i16
3215535U, // VORRq
10030643U, // VPADALsv16i8
9506355U, // VPADALsv2i32
8982067U, // VPADALsv4i16
9506355U, // VPADALsv4i32
8982067U, // VPADALsv8i16
10030643U, // VPADALsv8i8
11603507U, // VPADALuv16i8
11079219U, // VPADALuv2i32
10554931U, // VPADALuv4i16
11079219U, // VPADALuv4i32
10554931U, // VPADALuv8i16
11603507U, // VPADALuv8i8
9989743U, // VPADDLsv16i8
9465455U, // VPADDLsv2i32
8941167U, // VPADDLsv4i16
9465455U, // VPADDLsv4i32
8941167U, // VPADDLsv8i16
9989743U, // VPADDLsv8i8
11562607U, // VPADDLuv16i8
11038319U, // VPADDLuv2i32
10514031U, // VPADDLuv4i16
11038319U, // VPADDLuv4i32
10514031U, // VPADDLuv8i16
11562607U, // VPADDLuv8i8
6884474U, // VPADDf
6360186U, // VPADDh
1117306U, // VPADDi16
593018U, // VPADDi32
12127354U, // VPADDi8
6886253U, // VPMAXf
6361965U, // VPMAXh
8983405U, // VPMAXs16
9507693U, // VPMAXs32
10031981U, // VPMAXs8
10556269U, // VPMAXu16
11080557U, // VPMAXu32
11604845U, // VPMAXu8
6885275U, // VPMINf
6360987U, // VPMINh
8982427U, // VPMINs16
9506715U, // VPMINs32
10031003U, // VPMINs8
10555291U, // VPMINu16
11079579U, // VPMINu32
11603867U, // VPMINu8
9990376U, // VQABSv16i8
9466088U, // VQABSv2i32
8941800U, // VQABSv4i16
9466088U, // VQABSv4i32
8941800U, // VQABSv8i16
9990376U, // VQABSv8i8
10030208U, // VQADDsv16i8
770247808U, // VQADDsv1i64
9505920U, // VQADDsv2i32
770247808U, // VQADDsv2i64
8981632U, // VQADDsv4i16
9505920U, // VQADDsv4i32
8981632U, // VQADDsv8i16
10030208U, // VQADDsv8i8
11603072U, // VQADDuv16i8
19467392U, // VQADDuv1i64
11078784U, // VQADDuv2i32
19467392U, // VQADDuv2i64
10554496U, // VQADDuv4i16
11078784U, // VQADDuv4i32
10554496U, // VQADDuv8i16
11603072U, // VQADDuv8i8
9498170U, // VQDMLALslv2i32
8973882U, // VQDMLALslv4i16
9489978U, // VQDMLALv2i64
8965690U, // VQDMLALv4i32
9498399U, // VQDMLSLslv2i32
8974111U, // VQDMLSLslv4i16
9490207U, // VQDMLSLv2i64
8965919U, // VQDMLSLv4i32
9489766U, // VQDMULHslv2i32
8965478U, // VQDMULHslv4i16
9489766U, // VQDMULHslv4i32
8965478U, // VQDMULHslv8i16
9506150U, // VQDMULHv2i32
8981862U, // VQDMULHv4i16
9506150U, // VQDMULHv4i32
8981862U, // VQDMULHv8i16
9490144U, // VQDMULLslv2i32
8965856U, // VQDMULLslv4i16
9506528U, // VQDMULLv2i64
8982240U, // VQDMULLv4i32
770207726U, // VQMOVNsuv2i32
9465838U, // VQMOVNsuv4i16
8941550U, // VQMOVNsuv8i8
770207739U, // VQMOVNsv2i32
9465851U, // VQMOVNsv4i16
8941563U, // VQMOVNsv8i8
19427323U, // VQMOVNuv2i32
11038715U, // VQMOVNuv4i16
10514427U, // VQMOVNuv8i8
9989383U, // VQNEGv16i8
9465095U, // VQNEGv2i32
8940807U, // VQNEGv4i16
9465095U, // VQNEGv4i32
8940807U, // VQNEGv8i16
9989383U, // VQNEGv8i8
9497890U, // VQRDMLAHslv2i32
8973602U, // VQRDMLAHslv4i16
9497890U, // VQRDMLAHslv4i32
8973602U, // VQRDMLAHslv8i16
9489698U, // VQRDMLAHv2i32
8965410U, // VQRDMLAHv4i16
9489698U, // VQRDMLAHv4i32
8965410U, // VQRDMLAHv8i16
9498019U, // VQRDMLSHslv2i32
8973731U, // VQRDMLSHslv4i16
9498019U, // VQRDMLSHslv4i32
8973731U, // VQRDMLSHslv8i16
9489827U, // VQRDMLSHv2i32
8965539U, // VQRDMLSHv4i16
9489827U, // VQRDMLSHv4i32
8965539U, // VQRDMLSHv8i16
9489774U, // VQRDMULHslv2i32
8965486U, // VQRDMULHslv4i16
9489774U, // VQRDMULHslv4i32
8965486U, // VQRDMULHslv8i16
9506158U, // VQRDMULHv2i32
8981870U, // VQRDMULHv4i16
9506158U, // VQRDMULHv4i32
8981870U, // VQRDMULHv8i16
10030751U, // VQRSHLsv16i8
770248351U, // VQRSHLsv1i64
9506463U, // VQRSHLsv2i32
770248351U, // VQRSHLsv2i64
8982175U, // VQRSHLsv4i16
9506463U, // VQRSHLsv4i32
8982175U, // VQRSHLsv8i16
10030751U, // VQRSHLsv8i8
11603615U, // VQRSHLuv16i8
19467935U, // VQRSHLuv1i64
11079327U, // VQRSHLuv2i32
19467935U, // VQRSHLuv2i64
10555039U, // VQRSHLuv4i16
11079327U, // VQRSHLuv4i32
10555039U, // VQRSHLuv8i16
11603615U, // VQRSHLuv8i8
770248625U, // VQRSHRNsv2i32
9506737U, // VQRSHRNsv4i16
8982449U, // VQRSHRNsv8i8
19468209U, // VQRSHRNuv2i32
11079601U, // VQRSHRNuv4i16
10555313U, // VQRSHRNuv8i8
770248677U, // VQRSHRUNv2i32
9506789U, // VQRSHRUNv4i16
8982501U, // VQRSHRUNv8i8
10030738U, // VQSHLsiv16i8
770248338U, // VQSHLsiv1i64
9506450U, // VQSHLsiv2i32
770248338U, // VQSHLsiv2i64
8982162U, // VQSHLsiv4i16
9506450U, // VQSHLsiv4i32
8982162U, // VQSHLsiv8i16
10030738U, // VQSHLsiv8i8
10031761U, // VQSHLsuv16i8
770249361U, // VQSHLsuv1i64
9507473U, // VQSHLsuv2i32
770249361U, // VQSHLsuv2i64
8983185U, // VQSHLsuv4i16
9507473U, // VQSHLsuv4i32
8983185U, // VQSHLsuv8i16
10031761U, // VQSHLsuv8i8
10030738U, // VQSHLsv16i8
770248338U, // VQSHLsv1i64
9506450U, // VQSHLsv2i32
770248338U, // VQSHLsv2i64
8982162U, // VQSHLsv4i16
9506450U, // VQSHLsv4i32
8982162U, // VQSHLsv8i16
10030738U, // VQSHLsv8i8
11603602U, // VQSHLuiv16i8
19467922U, // VQSHLuiv1i64
11079314U, // VQSHLuiv2i32
19467922U, // VQSHLuiv2i64
10555026U, // VQSHLuiv4i16
11079314U, // VQSHLuiv4i32
10555026U, // VQSHLuiv8i16
11603602U, // VQSHLuiv8i8
11603602U, // VQSHLuv16i8
19467922U, // VQSHLuv1i64
11079314U, // VQSHLuv2i32
19467922U, // VQSHLuv2i64
10555026U, // VQSHLuv4i16
11079314U, // VQSHLuv4i32
10555026U, // VQSHLuv8i16
11603602U, // VQSHLuv8i8
770248618U, // VQSHRNsv2i32
9506730U, // VQSHRNsv4i16
8982442U, // VQSHRNsv8i8
19468202U, // VQSHRNuv2i32
11079594U, // VQSHRNuv4i16
10555306U, // VQSHRNuv8i8
770248669U, // VQSHRUNv2i32
9506781U, // VQSHRUNv4i16
8982493U, // VQSHRUNv8i8
10030046U, // VQSUBsv16i8
770247646U, // VQSUBsv1i64
9505758U, // VQSUBsv2i32
770247646U, // VQSUBsv2i64
8981470U, // VQSUBsv4i16
9505758U, // VQSUBsv4i32
8981470U, // VQSUBsv8i16
10030046U, // VQSUBsv8i8
11602910U, // VQSUBuv16i8
19467230U, // VQSUBuv1i64
11078622U, // VQSUBuv2i32
19467230U, // VQSUBuv2i64
10554334U, // VQSUBuv4i16
11078622U, // VQSUBuv4i32
10554334U, // VQSUBuv8i16
11602910U, // VQSUBuv8i8
758189964U, // VRADDHNv2i32
593804U, // VRADDHNv4i16
1118092U, // VRADDHNv8i8
11037926U, // VRECPEd
6843622U, // VRECPEfd
6843622U, // VRECPEfq
6319334U, // VRECPEhd
6319334U, // VRECPEhq
11037926U, // VRECPEq
6885668U, // VRECPSfd
6885668U, // VRECPSfq
6361380U, // VRECPShd
6361380U, // VRECPShq
2648394U, // VREV16d8
2648394U, // VREV16q8
1599605U, // VREV32d16
2648181U, // VREV32d8
1599605U, // VREV32q16
2648181U, // VREV32q8
1599681U, // VREV64d16
2123969U, // VREV64d32
2648257U, // VREV64d8
1599681U, // VREV64q16
2123969U, // VREV64q32
2648257U, // VREV64q8
10030189U, // VRHADDsv16i8
9505901U, // VRHADDsv2i32
8981613U, // VRHADDsv4i16
9505901U, // VRHADDsv4i32
8981613U, // VRHADDsv8i16
10030189U, // VRHADDsv8i8
11603053U, // VRHADDuv16i8
11078765U, // VRHADDuv2i32
10554477U, // VRHADDuv4i16
11078765U, // VRHADDuv4i32
10554477U, // VRHADDuv8i16
11603053U, // VRHADDuv8i8
741958360U, // VRINTAD
741958882U, // VRINTAH
741958021U, // VRINTANDf
741958882U, // VRINTANDh
741958021U, // VRINTANQf
741958882U, // VRINTANQh
741958021U, // VRINTAS
741958408U, // VRINTMD
741958963U, // VRINTMH
741958080U, // VRINTMNDf
741958963U, // VRINTMNDh
741958080U, // VRINTMNQf
741958963U, // VRINTMNQh
741958080U, // VRINTMS
741958420U, // VRINTND
741958975U, // VRINTNH
741958092U, // VRINTNNDf
741958975U, // VRINTNNDh
741958092U, // VRINTNNQf
741958975U, // VRINTNNQh
741958092U, // VRINTNS
741958432U, // VRINTPD
741958987U, // VRINTPH
741958104U, // VRINTPNDf
741958987U, // VRINTPNDh
741958104U, // VRINTPNQf
741958987U, // VRINTPNQh
741958104U, // VRINTPS
1012429002U, // VRINTRD
6320330U, // VRINTRH
6844618U, // VRINTRS
1012429970U, // VRINTXD
6321298U, // VRINTXH
741958152U, // VRINTXNDf
741959045U, // VRINTXNDh
741958152U, // VRINTXNQf
741959045U, // VRINTXNQh
6845586U, // VRINTXS
1012430020U, // VRINTZD
6321348U, // VRINTZH
741958164U, // VRINTZNDf
741959068U, // VRINTZNDh
741958164U, // VRINTZNQf
741959068U, // VRINTZNQh
6845636U, // VRINTZS
10030758U, // VRSHLsv16i8
770248358U, // VRSHLsv1i64
9506470U, // VRSHLsv2i32
770248358U, // VRSHLsv2i64
8982182U, // VRSHLsv4i16
9506470U, // VRSHLsv4i32
8982182U, // VRSHLsv8i16
10030758U, // VRSHLsv8i8
11603622U, // VRSHLuv16i8
19467942U, // VRSHLuv1i64
11079334U, // VRSHLuv2i32
19467942U, // VRSHLuv2i64
10555046U, // VRSHLuv4i16
11079334U, // VRSHLuv4i32
10555046U, // VRSHLuv8i16
11603622U, // VRSHLuv8i8
758190009U, // VRSHRNv2i32
593849U, // VRSHRNv4i16
1118137U, // VRSHRNv8i8
10031247U, // VRSHRsv16i8
770248847U, // VRSHRsv1i64
9506959U, // VRSHRsv2i32
770248847U, // VRSHRsv2i64
8982671U, // VRSHRsv4i16
9506959U, // VRSHRsv4i32
8982671U, // VRSHRsv8i16
10031247U, // VRSHRsv8i8
11604111U, // VRSHRuv16i8
19468431U, // VRSHRuv1i64
11079823U, // VRSHRuv2i32
19468431U, // VRSHRuv2i64
10555535U, // VRSHRuv4i16
11079823U, // VRSHRuv4i32
10555535U, // VRSHRuv8i16
11604111U, // VRSHRuv8i8
11037939U, // VRSQRTEd
6843635U, // VRSQRTEfd
6843635U, // VRSQRTEfq
6319347U, // VRSQRTEhd
6319347U, // VRSQRTEhq
11037939U, // VRSQRTEq
6885690U, // VRSQRTSfd
6885690U, // VRSQRTSfq
6361402U, // VRSQRTShd
6361402U, // VRSQRTShq
10013289U, // VRSRAsv16i8
703122025U, // VRSRAsv1i64
9489001U, // VRSRAsv2i32
703122025U, // VRSRAsv2i64
8964713U, // VRSRAsv4i16
9489001U, // VRSRAsv4i32
8964713U, // VRSRAsv8i16
10013289U, // VRSRAsv8i8
11586153U, // VRSRAuv16i8
19450473U, // VRSRAuv1i64
11061865U, // VRSRAuv2i32
19450473U, // VRSRAuv2i64
10537577U, // VRSRAuv4i16
11061865U, // VRSRAuv4i32
10537577U, // VRSRAuv8i16
11586153U, // VRSRAuv8i8
758189949U, // VRSUBHNv2i32
593789U, // VRSUBHNv4i16
1118077U, // VRSUBHNv8i8
2620501858U, // VSCCLRMD
2620501858U, // VSCCLRMS
2688198217U, // VSDOTD
2688198217U, // VSDOTDI
2688198217U, // VSDOTQ
2688198217U, // VSDOTQI
741958444U, // VSELEQD
741958999U, // VSELEQH
741958116U, // VSELEQS
741958372U, // VSELGED
741958905U, // VSELGEH
741958044U, // VSELGES
741958468U, // VSELGTD
741959033U, // VSELGTH
741958140U, // VSELGTS
741958456U, // VSELVSD
741959021U, // VSELVSH
741958128U, // VSELVSS
1626902U, // VSETLNi16
2151190U, // VSETLNi32
2675478U, // VSETLNi8
1117909U, // VSHLLi16
593621U, // VSHLLi32
12127957U, // VSHLLi8
9506517U, // VSHLLsv2i64
8982229U, // VSHLLsv4i32
10030805U, // VSHLLsv8i16
11079381U, // VSHLLuv2i64
10555093U, // VSHLLuv4i32
11603669U, // VSHLLuv8i16
12127916U, // VSHLiv16i8
758189740U, // VSHLiv1i64
593580U, // VSHLiv2i32
758189740U, // VSHLiv2i64
1117868U, // VSHLiv4i16
593580U, // VSHLiv4i32
1117868U, // VSHLiv8i16
12127916U, // VSHLiv8i8
10030764U, // VSHLsv16i8
770248364U, // VSHLsv1i64
9506476U, // VSHLsv2i32
770248364U, // VSHLsv2i64
8982188U, // VSHLsv4i16
9506476U, // VSHLsv4i32
8982188U, // VSHLsv8i16
10030764U, // VSHLsv8i8
11603628U, // VSHLuv16i8
19467948U, // VSHLuv1i64
11079340U, // VSHLuv2i32
19467948U, // VSHLuv2i64
10555052U, // VSHLuv4i16
11079340U, // VSHLuv4i32
10555052U, // VSHLuv8i16
11603628U, // VSHLuv8i8
758190016U, // VSHRNv2i32
593856U, // VSHRNv4i16
1118144U, // VSHRNv8i8
10031253U, // VSHRsv16i8
770248853U, // VSHRsv1i64
9506965U, // VSHRsv2i32
770248853U, // VSHRsv2i64
8982677U, // VSHRsv4i16
9506965U, // VSHRsv4i32
8982677U, // VSHRsv8i16
10031253U, // VSHRsv8i8
11604117U, // VSHRuv16i8
19468437U, // VSHRuv1i64
11079829U, // VSHRuv2i32
19468437U, // VSHRuv2i64
10555541U, // VSHRuv4i16
11079829U, // VSHRuv4i32
10555541U, // VSHRuv8i16
11604117U, // VSHRuv8i8
32576116U, // VSHTOD
1020334708U, // VSHTOH
33100404U, // VSHTOS
1644196468U, // VSITOD
1644720756U, // VSITOH
1625846388U, // VSITOS
2674189U, // VSLIv16i8
12635661U, // VSLIv1i64
2149901U, // VSLIv2i32
12635661U, // VSLIv2i64
1625613U, // VSLIv4i16
2149901U, // VSLIv4i32
1625613U, // VSLIv8i16
2674189U, // VSLIv8i8
1040257652U, // VSLTOD
1040781940U, // VSLTOH
1021907572U, // VSLTOS
1012429369U, // VSQRTD
6320697U, // VSQRTH
6844985U, // VSQRTS
10013295U, // VSRAsv16i8
703122031U, // VSRAsv1i64
9489007U, // VSRAsv2i32
703122031U, // VSRAsv2i64
8964719U, // VSRAsv4i16
9489007U, // VSRAsv4i32
8964719U, // VSRAsv8i16
10013295U, // VSRAsv8i8
11586159U, // VSRAuv16i8
19450479U, // VSRAuv1i64
11061871U, // VSRAuv2i32
19450479U, // VSRAuv2i64
10537583U, // VSRAuv4i16
11061871U, // VSRAuv4i32
10537583U, // VSRAuv8i16
11586159U, // VSRAuv8i8
2674194U, // VSRIv16i8
12635666U, // VSRIv1i64
2149906U, // VSRIv2i32
12635666U, // VSRIv2i64
1625618U, // VSRIv4i16
2149906U, // VSRIv4i32
1625618U, // VSRIv8i16
2674194U, // VSRIv8i8
696305776U, // VST1LNd16
2776746096U, // VST1LNd16_UPD
696830064U, // VST1LNd32
2777270384U, // VST1LNd32_UPD
697354352U, // VST1LNd8
2777794672U, // VST1LNd8_UPD
0U, // VST1LNq16Pseudo
0U, // VST1LNq16Pseudo_UPD
0U, // VST1LNq32Pseudo
0U, // VST1LNq32Pseudo_UPD
0U, // VST1LNq8Pseudo
0U, // VST1LNq8Pseudo_UPD
2820212848U, // VST1d16
2887321712U, // VST1d16Q
0U, // VST1d16QPseudo
2954414192U, // VST1d16Qwb_fixed
3021531248U, // VST1d16Qwb_register
3088648304U, // VST1d16T
0U, // VST1d16TPseudo
3155740784U, // VST1d16Twb_fixed
3222857840U, // VST1d16Twb_register
3289958512U, // VST1d16wb_fixed
3357075568U, // VST1d16wb_register
2820737136U, // VST1d32
2887846000U, // VST1d32Q
0U, // VST1d32QPseudo
2954938480U, // VST1d32Qwb_fixed
3022055536U, // VST1d32Qwb_register
3089172592U, // VST1d32T
0U, // VST1d32TPseudo
3156265072U, // VST1d32Twb_fixed
3223382128U, // VST1d32Twb_register
3290482800U, // VST1d32wb_fixed
3357599856U, // VST1d32wb_register
2831222896U, // VST1d64
2898331760U, // VST1d64Q
0U, // VST1d64QPseudo
0U, // VST1d64QPseudoWB_fixed
0U, // VST1d64QPseudoWB_register
2965424240U, // VST1d64Qwb_fixed
3032541296U, // VST1d64Qwb_register
3099658352U, // VST1d64T
0U, // VST1d64TPseudo
0U, // VST1d64TPseudoWB_fixed
0U, // VST1d64TPseudoWB_register
3166750832U, // VST1d64Twb_fixed
3233867888U, // VST1d64Twb_register
3300968560U, // VST1d64wb_fixed
3368085616U, // VST1d64wb_register
2821261424U, // VST1d8
2888370288U, // VST1d8Q
0U, // VST1d8QPseudo
2955462768U, // VST1d8Qwb_fixed
3022579824U, // VST1d8Qwb_register
3089696880U, // VST1d8T
0U, // VST1d8TPseudo
3156789360U, // VST1d8Twb_fixed
3223906416U, // VST1d8Twb_register
3291007088U, // VST1d8wb_fixed
3358124144U, // VST1d8wb_register
3424192624U, // VST1q16
0U, // VST1q16HighQPseudo
0U, // VST1q16HighTPseudo
0U, // VST1q16LowQPseudo_UPD
0U, // VST1q16LowTPseudo_UPD
3491285104U, // VST1q16wb_fixed
3558402160U, // VST1q16wb_register
3424716912U, // VST1q32
0U, // VST1q32HighQPseudo
0U, // VST1q32HighTPseudo
0U, // VST1q32LowQPseudo_UPD
0U, // VST1q32LowTPseudo_UPD
3491809392U, // VST1q32wb_fixed
3558926448U, // VST1q32wb_register
3435202672U, // VST1q64
0U, // VST1q64HighQPseudo
0U, // VST1q64HighTPseudo
0U, // VST1q64LowQPseudo_UPD
0U, // VST1q64LowTPseudo_UPD
3502295152U, // VST1q64wb_fixed
3569412208U, // VST1q64wb_register
3425241200U, // VST1q8
0U, // VST1q8HighQPseudo
0U, // VST1q8HighTPseudo
0U, // VST1q8LowQPseudo_UPD
0U, // VST1q8LowTPseudo_UPD
3492333680U, // VST1q8wb_fixed
3559450736U, // VST1q8wb_register
696314028U, // VST2LNd16
0U, // VST2LNd16Pseudo
0U, // VST2LNd16Pseudo_UPD
2776926380U, // VST2LNd16_UPD
696838316U, // VST2LNd32
0U, // VST2LNd32Pseudo
0U, // VST2LNd32Pseudo_UPD
2777450668U, // VST2LNd32_UPD
697362604U, // VST2LNd8
0U, // VST2LNd8Pseudo
0U, // VST2LNd8Pseudo_UPD
2777974956U, // VST2LNd8_UPD
696314028U, // VST2LNq16
0U, // VST2LNq16Pseudo
0U, // VST2LNq16Pseudo_UPD
2776926380U, // VST2LNq16_UPD
696838316U, // VST2LNq32
0U, // VST2LNq32Pseudo
0U, // VST2LNq32Pseudo_UPD
2777450668U, // VST2LNq32_UPD
3625519276U, // VST2b16
3692611756U, // VST2b16wb_fixed
3759728812U, // VST2b16wb_register
3626043564U, // VST2b32
3693136044U, // VST2b32wb_fixed
3760253100U, // VST2b32wb_register
3626567852U, // VST2b8
3693660332U, // VST2b8wb_fixed
3760777388U, // VST2b8wb_register
3424192684U, // VST2d16
3491285164U, // VST2d16wb_fixed
3558402220U, // VST2d16wb_register
3424716972U, // VST2d32
3491809452U, // VST2d32wb_fixed
3558926508U, // VST2d32wb_register
3425241260U, // VST2d8
3492333740U, // VST2d8wb_fixed
3559450796U, // VST2d8wb_register
2887321772U, // VST2q16
0U, // VST2q16Pseudo
0U, // VST2q16PseudoWB_fixed
0U, // VST2q16PseudoWB_register
2954414252U, // VST2q16wb_fixed
3021531308U, // VST2q16wb_register
2887846060U, // VST2q32
0U, // VST2q32Pseudo
0U, // VST2q32PseudoWB_fixed
0U, // VST2q32PseudoWB_register
2954938540U, // VST2q32wb_fixed
3022055596U, // VST2q32wb_register
2888370348U, // VST2q8
0U, // VST2q8Pseudo
0U, // VST2q8PseudoWB_fixed
0U, // VST2q8PseudoWB_register
2955462828U, // VST2q8wb_fixed
3022579884U, // VST2q8wb_register
696371388U, // VST3LNd16
0U, // VST3LNd16Pseudo
0U, // VST3LNd16Pseudo_UPD
2776950972U, // VST3LNd16_UPD
696895676U, // VST3LNd32
0U, // VST3LNd32Pseudo
0U, // VST3LNd32Pseudo_UPD
2777475260U, // VST3LNd32_UPD
697419964U, // VST3LNd8
0U, // VST3LNd8Pseudo
0U, // VST3LNd8Pseudo_UPD
2777999548U, // VST3LNd8_UPD
696371388U, // VST3LNq16
0U, // VST3LNq16Pseudo
0U, // VST3LNq16Pseudo_UPD
2776950972U, // VST3LNq16_UPD
696895676U, // VST3LNq32
0U, // VST3LNq32Pseudo
0U, // VST3LNq32Pseudo_UPD
2777475260U, // VST3LNq32_UPD
696314044U, // VST3d16
0U, // VST3d16Pseudo
0U, // VST3d16Pseudo_UPD
2776926396U, // VST3d16_UPD
696838332U, // VST3d32
0U, // VST3d32Pseudo
0U, // VST3d32Pseudo_UPD
2777450684U, // VST3d32_UPD
697362620U, // VST3d8
0U, // VST3d8Pseudo
0U, // VST3d8Pseudo_UPD
2777974972U, // VST3d8_UPD
696314044U, // VST3q16
0U, // VST3q16Pseudo_UPD
2776926396U, // VST3q16_UPD
0U, // VST3q16oddPseudo
0U, // VST3q16oddPseudo_UPD
696838332U, // VST3q32
0U, // VST3q32Pseudo_UPD
2777450684U, // VST3q32_UPD
0U, // VST3q32oddPseudo
0U, // VST3q32oddPseudo_UPD
697362620U, // VST3q8
0U, // VST3q8Pseudo_UPD
2777974972U, // VST3q8_UPD
0U, // VST3q8oddPseudo
0U, // VST3q8oddPseudo_UPD
696551629U, // VST4LNd16
0U, // VST4LNd16Pseudo
0U, // VST4LNd16Pseudo_UPD
2776934605U, // VST4LNd16_UPD
697075917U, // VST4LNd32
0U, // VST4LNd32Pseudo
0U, // VST4LNd32Pseudo_UPD
2777458893U, // VST4LNd32_UPD
697600205U, // VST4LNd8
0U, // VST4LNd8Pseudo
0U, // VST4LNd8Pseudo_UPD
2777983181U, // VST4LNd8_UPD
696551629U, // VST4LNq16
0U, // VST4LNq16Pseudo
0U, // VST4LNq16Pseudo_UPD
2776934605U, // VST4LNq16_UPD
697075917U, // VST4LNq32
0U, // VST4LNq32Pseudo
0U, // VST4LNq32Pseudo_UPD
2777458893U, // VST4LNq32_UPD
696371405U, // VST4d16
0U, // VST4d16Pseudo
0U, // VST4d16Pseudo_UPD
2776950989U, // VST4d16_UPD
696895693U, // VST4d32
0U, // VST4d32Pseudo
0U, // VST4d32Pseudo_UPD
2777475277U, // VST4d32_UPD
697419981U, // VST4d8
0U, // VST4d8Pseudo
0U, // VST4d8Pseudo_UPD
2777999565U, // VST4d8_UPD
696371405U, // VST4q16
0U, // VST4q16Pseudo_UPD
2776950989U, // VST4q16_UPD
0U, // VST4q16oddPseudo
0U, // VST4q16oddPseudo_UPD
696895693U, // VST4q32
0U, // VST4q32Pseudo_UPD
2777475277U, // VST4q32_UPD
0U, // VST4q32oddPseudo
0U, // VST4q32oddPseudo_UPD
697419981U, // VST4q8
0U, // VST4q8Pseudo_UPD
2777999565U, // VST4q8_UPD
0U, // VST4q8oddPseudo
0U, // VST4q8oddPseudo_UPD
741370616U, // VSTMDDB_UPD
3246628U, // VSTMDIA
741370404U, // VSTMDIA_UPD
0U, // VSTMQIA
741370616U, // VSTMSDB_UPD
3246628U, // VSTMSIA
741370404U, // VSTMSIA_UPD
3215569U, // VSTRD
1642705U, // VSTRH
3215569U, // VSTRS
2308468945U, // VSTR_FPCXTNS_off
2375618769U, // VSTR_FPCXTNS_post
2442727633U, // VSTR_FPCXTNS_pre
2308993233U, // VSTR_FPCXTS_off
2376143057U, // VSTR_FPCXTS_post
2443251921U, // VSTR_FPCXTS_pre
2309517521U, // VSTR_FPSCR_NZCVQC_off
2376667345U, // VSTR_FPSCR_NZCVQC_post
2443776209U, // VSTR_FPSCR_NZCVQC_pre
2310041809U, // VSTR_FPSCR_off
2377191633U, // VSTR_FPSCR_post
2444300497U, // VSTR_FPSCR_pre
2511933649U, // VSTR_P0_off
1236848849U, // VSTR_P0_post
2579026129U, // VSTR_P0_pre
2311090385U, // VSTR_VPR_off
2378240209U, // VSTR_VPR_post
2445349073U, // VSTR_VPR_pre
1012468708U, // VSUBD
6360036U, // VSUBH
758189957U, // VSUBHNv2i32
593797U, // VSUBHNv4i16
1118085U, // VSUBHNv8i8
9506393U, // VSUBLsv2i64
8982105U, // VSUBLsv4i32
10030681U, // VSUBLsv8i16
11079257U, // VSUBLuv2i64
10554969U, // VSUBLuv4i32
11603545U, // VSUBLuv8i16
6884324U, // VSUBS
9507617U, // VSUBWsv2i64
8983329U, // VSUBWsv4i32
10031905U, // VSUBWsv8i16
11080481U, // VSUBWuv2i64
10556193U, // VSUBWuv4i32
11604769U, // VSUBWuv8i16
6884324U, // VSUBfd
6884324U, // VSUBfq
6360036U, // VSUBhd
6360036U, // VSUBhq
12127204U, // VSUBv16i8
758189028U, // VSUBv1i64
592868U, // VSUBv2i32
758189028U, // VSUBv2i64
1117156U, // VSUBv4i16
592868U, // VSUBv4i32
1117156U, // VSUBv8i16
12127204U, // VSUBv8i8
3199061U, // VSWPd
3199061U, // VSWPq
2690644U, // VTBL1
2690644U, // VTBL2
2690644U, // VTBL3
0U, // VTBL3Pseudo
2690644U, // VTBL4
0U, // VTBL4Pseudo
2675662U, // VTBX1
2675662U, // VTBX2
2675662U, // VTBX3
0U, // VTBX3Pseudo
2675662U, // VTBX4
0U, // VTBX4Pseudo
34673268U, // VTOSHD
1022956148U, // VTOSHH
35197556U, // VTOSHS
1635283158U, // VTOSIRD
1646293206U, // VTOSIRH
1627418838U, // VTOSIRS
1635283572U, // VTOSIZD
1646293620U, // VTOSIZH
1627419252U, // VTOSIZS
1031344756U, // VTOSLD
1042354804U, // VTOSLH
1023480436U, // VTOSLS
36246132U, // VTOUHD
1024004724U, // VTOUHH
36770420U, // VTOUHS
1647866070U, // VTOUIRD
1648390358U, // VTOUIRH
1628467414U, // VTOUIRS
1647866484U, // VTOUIZD
1648390772U, // VTOUIZH
1628467828U, // VTOUIZS
1043927668U, // VTOULD
1044451956U, // VTOULH
1024529012U, // VTOULS
1626059U, // VTRNd16
2150347U, // VTRNd32
2674635U, // VTRNd8
1626059U, // VTRNq16
2150347U, // VTRNq32
2674635U, // VTRNq8
2691657U, // VTSTv16i8
2167369U, // VTSTv2i32
1643081U, // VTSTv4i16
2167369U, // VTSTv4i32
1643081U, // VTSTv8i16
2691657U, // VTSTv8i8
2688198227U, // VUDOTD
2688198227U, // VUDOTDI
2688198227U, // VUDOTQ
2688198227U, // VUDOTQI
38343284U, // VUHTOD
1020858996U, // VUHTOH
38867572U, // VUHTOS
1649963636U, // VUITOD
1650487924U, // VUITOH
1626370676U, // VUITOS
1046024820U, // VULTOD
1046549108U, // VULTOH
1022431860U, // VULTOS
1626202U, // VUZPd16
2674778U, // VUZPd8
1626202U, // VUZPq16
2150490U, // VUZPq32
2674778U, // VUZPq8
1626129U, // VZIPd16
2674705U, // VZIPd8
1626129U, // VZIPq16
2150417U, // VZIPq32
2674705U, // VZIPq8
3246579U, // sysLDMDA
741370355U, // sysLDMDA_UPD
3246834U, // sysLDMDB
741370610U, // sysLDMDB_UPD
3247952U, // sysLDMIA
741371728U, // sysLDMIA_UPD
3246853U, // sysLDMIB
741370629U, // sysLDMIB_UPD
3246585U, // sysSTMDA
741370361U, // sysSTMDA_UPD
3246841U, // sysSTMDB
741370617U, // sysSTMDB_UPD
3247987U, // sysSTMIA
741371763U, // sysSTMIA_UPD
3246859U, // sysSTMIB
741370635U, // sysSTMIB_UPD
3157017U, // t2ADCri
40381465U, // t2ADCrr
40447001U, // t2ADCrs
40381533U, // t2ADDri
3216168U, // t2ADDri12
40381533U, // t2ADDrr
40447069U, // t2ADDrs
40381533U, // t2ADDspImm
3216168U, // t2ADDspImm12
40398963U, // t2ADR
3157150U, // t2ANDri
40381598U, // t2ANDrr
40447134U, // t2ANDrs
40382644U, // t2ASRri
40382644U, // t2ASRrr
40471235U, // t2B
3214369U, // t2BFC
3198469U, // t2BFI
3174018U, // t2BFLi
3175533U, // t2BFLr
3173627U, // t2BFi
741959407U, // t2BFic
3175454U, // t2BFr
3157030U, // t2BICri
40381478U, // t2BICrr
40447014U, // t2BICrs
3247639U, // t2BXJ
40471235U, // t2Bcc
808570893U, // t2CDP
808568982U, // t2CDP2
4305937U, // t2CLREX
2620499703U, // t2CLRM
3175616U, // t2CLZ
40398758U, // t2CMNri
40398758U, // t2CMNzrr
40439718U, // t2CMNzrs
40398871U, // t2CMPri
40398871U, // t2CMPrr
40439831U, // t2CMPrs
4286229U, // t2CPS1p
980029735U, // t2CPS2p
942805287U, // t2CPS3p
741959275U, // t2CRC32B
741959283U, // t2CRC32CB
741959375U, // t2CRC32CH
741959496U, // t2CRC32CW
741959367U, // t2CRC32H
741959488U, // t2CRC32W
741959409U, // t2CSEL
741959326U, // t2CSINC
741959467U, // t2CSINV
741959360U, // t2CSNEG
3247363U, // t2DBG
4302954U, // t2DCPS1
4303014U, // t2DCPS2
4303030U, // t2DCPS3
741959435U, // t2DLS
3828452148U, // t2DMB
3828452244U, // t2DSB
3158178U, // t2EORri
40382626U, // t2EORrr
40448162U, // t2EORrs
40473050U, // t2HINT
4286258U, // t2HVC
3895561112U, // t2ISB
70275498U, // t2IT
0U, // t2Int_eh_sjlj_setjmp
0U, // t2Int_eh_sjlj_setjmp_nofp
3172847U, // t2LDA
3173056U, // t2LDAB
3175423U, // t2LDAEX
3173367U, // t2LDAEXB
3214522U, // t2LDAEXD
3173853U, // t2LDAEXH
3173653U, // t2LDAH
808504859U, // t2LDC2L_OFFSET
808504859U, // t2LDC2L_OPTION
808504859U, // t2LDC2L_POST
808504859U, // t2LDC2L_PRE
808503420U, // t2LDC2_OFFSET
808503420U, // t2LDC2_OPTION
808503420U, // t2LDC2_POST
808503420U, // t2LDC2_PRE
808504927U, // t2LDCL_OFFSET
808504927U, // t2LDCL_OPTION
808504927U, // t2LDCL_POST
808504927U, // t2LDCL_PRE
808504349U, // t2LDC_OFFSET
808504349U, // t2LDC_OPTION
808504349U, // t2LDC_POST
808504349U, // t2LDC_PRE
3246834U, // t2LDMDB
741370610U, // t2LDMDB_UPD
40472400U, // t2LDMIA
778596176U, // t2LDMIA_UPD
3215730U, // t2LDRBT
3197833U, // t2LDRB_POST
3197833U, // t2LDRB_PRE
40438665U, // t2LDRBi12
3214217U, // t2LDRBi8
40397705U, // t2LDRBpci
40422281U, // t2LDRBs
3206307U, // t2LDRD_POST
3206307U, // t2LDRD_PRE
3198115U, // t2LDRDi8
3216395U, // t2LDREX
3173381U, // t2LDREXB
3214536U, // t2LDREXD
3173867U, // t2LDREXH
3215765U, // t2LDRHT
3198341U, // t2LDRH_POST
3198341U, // t2LDRH_PRE
40439173U, // t2LDRHi12
3214725U, // t2LDRHi8
40398213U, // t2LDRHpci
40422789U, // t2LDRHs
3215742U, // t2LDRSBT
3197852U, // t2LDRSB_POST
3197852U, // t2LDRSB_PRE
40438684U, // t2LDRSBi12
3214236U, // t2LDRSBi8
40397724U, // t2LDRSBpci
40422300U, // t2LDRSBs
3215777U, // t2LDRSHT
3198380U, // t2LDRSH_POST
3198380U, // t2LDRSH_PRE
40439212U, // t2LDRSHi12
3214764U, // t2LDRSHi8
40398252U, // t2LDRSHpci
40422828U, // t2LDRSHs
3215924U, // t2LDRT
3199096U, // t2LDR_POST
3199096U, // t2LDR_PRE
40439928U, // t2LDRi12
3215480U, // t2LDRi8
40398968U, // t2LDRpci
40423544U, // t2LDRs
4286135U, // t2LE
4368055U, // t2LEUpdate
40382243U, // t2LSLri
40382243U, // t2LSLrr
40382651U, // t2LSRri
40382651U, // t2LSRrr
808570991U, // t2MCR
808568987U, // t2MCR2
808513706U, // t2MCRR
808511648U, // t2MCRR2
3197491U, // t2MLA
3199231U, // t2MLS
3215993U, // t2MOVTi16
40416023U, // t2MOVi
3175230U, // t2MOVi16
40416023U, // t2MOVr
40399152U, // t2MOVsra_flag
40399157U, // t2MOVsrl_flag
1345440820U, // t2MRC
1345439873U, // t2MRC2
1412492344U, // t2MRRC
1412491398U, // t2MRRC2
3248428U, // t2MRS_AR
3174700U, // t2MRS_M
3174700U, // t2MRSbanked
3248428U, // t2MRSsys_AR
1479569600U, // t2MSR_AR
1479569600U, // t2MSR_M
1546678464U, // t2MSRbanked
3215155U, // t2MUL
3190775U, // t2MVNi
40415223U, // t2MVNr
40382455U, // t2MVNs
3157959U, // t2ORNri
3157959U, // t2ORNrr
3223495U, // t2ORNrs
3158192U, // t2ORRri
40382640U, // t2ORRrr
40448176U, // t2ORRrs
3199325U, // t2PKHBT
3197869U, // t2PKHTB
3962598189U, // t2PLDWi12
4029707053U, // t2PLDWi8
4096856877U, // t2PLDWs
3962596498U, // t2PLDi12
4029705362U, // t2PLDi8
4163996818U, // t2PLDpci
4096855186U, // t2PLDs
3962596873U, // t2PLIi12
4029705737U, // t2PLIi8
4163997193U, // t2PLIpci
4096855561U, // t2PLIs
3214465U, // t2QADD
3213607U, // t2QADD16
3213710U, // t2QADD8
3216515U, // t2QASX
3214439U, // t2QDADD
3214290U, // t2QDSUB
3216261U, // t2QSAX
3214303U, // t2QSUB
3213569U, // t2QSUB16
3213671U, // t2QSUB8
3174824U, // t2RBIT
40399590U, // t2REV
40397131U, // t2REV16
40398263U, // t2REVSH
3246827U, // t2RFEDB
3246827U, // t2RFEDBW
3246615U, // t2RFEIA
3246615U, // t2RFEIAW
40382630U, // t2RORri
40382630U, // t2RORrr
3191922U, // t2RRX
40381342U, // t2RSBri
3156894U, // t2RSBrr
3222430U, // t2RSBrs
3213614U, // t2SADD16
3213716U, // t2SADD8
3216520U, // t2SASX
2965U, // t2SB
3157012U, // t2SBCri
40381460U, // t2SBCrr
40446996U, // t2SBCrs
3200029U, // t2SBFX
3216106U, // t2SDIV
3214974U, // t2SEL
4286205U, // t2SETPAN
4304146U, // t2SG
3213590U, // t2SHADD16
3213695U, // t2SHADD8
3216502U, // t2SHASX
3216248U, // t2SHSAX
3213552U, // t2SHSUB16
3213656U, // t2SHSUB8
3247152U, // t2SMC
3197649U, // t2SMLABB
3199318U, // t2SMLABT
3198025U, // t2SMLAD
3199955U, // t2SMLADX
3264066U, // t2SMLAL
3263192U, // t2SMLALBB
3264867U, // t2SMLALBT
3263627U, // t2SMLALD
3265505U, // t2SMLALDX
3263411U, // t2SMLALTB
3265109U, // t2SMLALTT
3197862U, // t2SMLATB
3199566U, // t2SMLATT
3197929U, // t2SMLAWB
3199614U, // t2SMLAWT
3198126U, // t2SMLSD
3199985U, // t2SMLSDX
3263638U, // t2SMLSLD
3265513U, // t2SMLSLDX
3197495U, // t2SMMLA
3199080U, // t2SMMLAR
3199229U, // t2SMMLS
3199160U, // t2SMMLSR
3215159U, // t2SMMUL
3215514U, // t2SMMULR
3214415U, // t2SMUAD
3216346U, // t2SMUADX
3214048U, // t2SMULBB
3215723U, // t2SMULBT
3198696U, // t2SMULL
3214267U, // t2SMULTB
3215965U, // t2SMULTT
3214320U, // t2SMULWB
3216005U, // t2SMULWT
3214516U, // t2SMUSD
3216376U, // t2SMUSDX
40995583U, // t2SRSDB
41519871U, // t2SRSDB_UPD
40995371U, // t2SRSIA
41519659U, // t2SRSIA_UPD
3199303U, // t2SSAT
3213628U, // t2SSAT16
3216266U, // t2SSAX
3213576U, // t2SSUB16
3213677U, // t2SSUB8
808504865U, // t2STC2L_OFFSET
808504865U, // t2STC2L_OPTION
808504865U, // t2STC2L_POST
808504865U, // t2STC2L_PRE
808503436U, // t2STC2_OFFSET
808503436U, // t2STC2_OPTION
808503436U, // t2STC2_POST
808503436U, // t2STC2_PRE
808504932U, // t2STCL_OFFSET
808504932U, // t2STCL_OPTION
808504932U, // t2STCL_POST
808504932U, // t2STCL_PRE
808504385U, // t2STC_OFFSET
808504385U, // t2STC_OPTION
808504385U, // t2STC_POST
808504385U, // t2STC_PRE
3174189U, // t2STL
3173160U, // t2STLB
3216389U, // t2STLEX
3214334U, // t2STLEXB
3198145U, // t2STLEXD
3214820U, // t2STLEXH
3173729U, // t2STLH
3246841U, // t2STMDB
741370617U, // t2STMDB_UPD
40472435U, // t2STMIA
778596211U, // t2STMIA_UPD
3215736U, // t2STRBT
741395343U, // t2STRB_POST
741395343U, // t2STRB_PRE
40438671U, // t2STRBi12
3214223U, // t2STRBi8
40422287U, // t2STRBs
741403817U, // t2STRD_POST
741403817U, // t2STRD_PRE
3198121U, // t2STRDi8
3200023U, // t2STREX
3214348U, // t2STREXB
3198159U, // t2STREXD
3214834U, // t2STREXH
3215771U, // t2STRHT
741395851U, // t2STRH_POST
741395851U, // t2STRH_PRE
40439179U, // t2STRHi12
3214731U, // t2STRHi8
40422795U, // t2STRHs
3215935U, // t2STRT
741396690U, // t2STR_POST
741396690U, // t2STR_PRE
40440018U, // t2STRi12
3215570U, // t2STRi8
40423634U, // t2STRs
42045683U, // t2SUBS_PC_LR
40381396U, // t2SUBri
3216162U, // t2SUBri12
40381396U, // t2SUBrr
40446932U, // t2SUBrs
40381396U, // t2SUBspImm
3216162U, // t2SUBspImm12
3197637U, // t2SXTAB
3197138U, // t2SXTAB16
3198251U, // t2SXTAH
40438728U, // t2SXTB
3213538U, // t2SXTB16
40439229U, // t2SXTH
4231031527U, // t2TBB
3173687U, // t2TBH
40398948U, // t2TEQri
40398948U, // t2TEQrr
40439908U, // t2TEQrs
70355874U, // t2TSB
40399434U, // t2TSTri
40399434U, // t2TSTrr
40440394U, // t2TSTrs
3174994U, // t2TT
3172987U, // t2TTA
3174737U, // t2TTAT
3175012U, // t2TTT
3213621U, // t2UADD16
3213722U, // t2UADD8
3216525U, // t2UASX
3200034U, // t2UBFX
4286265U, // t2UDF
3216111U, // t2UDIV
3213598U, // t2UHADD16
3213702U, // t2UHADD8
3216508U, // t2UHASX
3216254U, // t2UHSAX
3213560U, // t2UHSUB16
3213663U, // t2UHSUB8
3264039U, // t2UMAAL
3264072U, // t2UMLAL
3198702U, // t2UMULL
3213606U, // t2UQADD16
3213709U, // t2UQADD8
3216514U, // t2UQASX
3216260U, // t2UQSAX
3213568U, // t2UQSUB16
3213670U, // t2UQSUB8
3213689U, // t2USAD8
3197265U, // t2USADA8
3199308U, // t2USAT
3213635U, // t2USAT16
3216271U, // t2USAX
3213583U, // t2USUB16
3213683U, // t2USUB8
3197643U, // t2UXTAB
3197146U, // t2UXTAB16
3198257U, // t2UXTAH
40438733U, // t2UXTB
3213545U, // t2UXTB16
40439234U, // t2UXTH
741959440U, // t2WLS
2727160857U, // tADC
3214429U, // tADDhirr
713895005U, // tADDi3
2727160925U, // tADDi8
3214429U, // tADDrSP
3214429U, // tADDrSPi
713895005U, // tADDrr
3214429U, // tADDspi
3214429U, // tADDspr
3174515U, // tADR
2727160990U, // tAND
713896116U, // tASRri
2727162036U, // tASRrr
3246787U, // tB
2727160870U, // tBIC
4286245U, // tBKPT
674344534U, // tBL
674345246U, // tBLXNSr
674346089U, // tBLXi
674346089U, // tBLXr
3249091U, // tBX
3248409U, // tBXNS
3246787U, // tBcc
741959525U, // tCBNZ
741959520U, // tCBZ
3174310U, // tCMNz
3174423U, // tCMPhir
3174423U, // tCMPi8
3174423U, // tCMPr
939659559U, // tCPS
2727162018U, // tEOR
3248602U, // tHINT
4286240U, // tHLT
0U, // tInt_WIN_eh_sjlj_longjmp
0U, // tInt_eh_sjlj_longjmp
0U, // tInt_eh_sjlj_setjmp
3247952U, // tLDMIA
3214217U, // tLDRBi
3214217U, // tLDRBr
3214725U, // tLDRHi
3214725U, // tLDRHr
3214236U, // tLDRSB
3214764U, // tLDRSH
3215480U, // tLDRi
3174520U, // tLDRpci
3215480U, // tLDRr
3215480U, // tLDRspi
713895715U, // tLSLri
2727161635U, // tLSLrr
713896123U, // tLSRri
2727162043U, // tLSRrr
741959450U, // tMOVSr
1653945111U, // tMOVi8
3175191U, // tMOVr
713895731U, // tMUL
1653944311U, // tMVN
2727162032U, // tORR
0U, // tPICADD
2620502043U, // tPOP
2620501426U, // tPUSH
3175142U, // tREV
3172683U, // tREV16
3173815U, // tREVSH
2727162022U, // tROR
1855269790U, // tRSB
2727160852U, // tSBC
272047U, // tSETEND
741371763U, // tSTMIA_UPD
3214223U, // tSTRBi
3214223U, // tSTRBr
3214731U, // tSTRHi
3214731U, // tSTRHr
3215570U, // tSTRi
3215570U, // tSTRr
3215570U, // tSTRspi
713894868U, // tSUBi3
2727160788U, // tSUBi8
713894868U, // tSUBrr
3214292U, // tSUBspi
3247173U, // tSVC
3173320U, // tSXTB
3173821U, // tSXTH
4104U, // tTRAP
3174986U, // tTST
4286139U, // tUDF
3173325U, // tUXTB
3173826U, // tUXTH
2139U, // t__brkdiv0
};
static const uint32_t OpInfo1[] = {
0U, // PHI
0U, // INLINEASM
0U, // INLINEASM_BR
0U, // CFI_INSTRUCTION
0U, // EH_LABEL
0U, // GC_LABEL
0U, // ANNOTATION_LABEL
0U, // KILL
0U, // EXTRACT_SUBREG
0U, // INSERT_SUBREG
0U, // IMPLICIT_DEF
0U, // SUBREG_TO_REG
0U, // COPY_TO_REGCLASS
0U, // DBG_VALUE
0U, // DBG_LABEL
0U, // REG_SEQUENCE
0U, // COPY
0U, // BUNDLE
0U, // LIFETIME_START
0U, // LIFETIME_END
0U, // STACKMAP
0U, // FENTRY_CALL
0U, // PATCHPOINT
0U, // LOAD_STACK_GUARD
0U, // STATEPOINT
0U, // LOCAL_ESCAPE
0U, // FAULTING_OP
0U, // PATCHABLE_OP
0U, // PATCHABLE_FUNCTION_ENTER
0U, // PATCHABLE_RET
0U, // PATCHABLE_FUNCTION_EXIT
0U, // PATCHABLE_TAIL_CALL
0U, // PATCHABLE_EVENT_CALL
0U, // PATCHABLE_TYPED_EVENT_CALL
0U, // ICALL_BRANCH_FUNNEL
0U, // G_ADD
0U, // G_SUB
0U, // G_MUL
0U, // G_SDIV
0U, // G_UDIV
0U, // G_SREM
0U, // G_UREM
0U, // G_AND
0U, // G_OR
0U, // G_XOR
0U, // G_IMPLICIT_DEF
0U, // G_PHI
0U, // G_FRAME_INDEX
0U, // G_GLOBAL_VALUE
0U, // G_EXTRACT
0U, // G_UNMERGE_VALUES
0U, // G_INSERT
0U, // G_MERGE_VALUES
0U, // G_BUILD_VECTOR
0U, // G_BUILD_VECTOR_TRUNC
0U, // G_CONCAT_VECTORS
0U, // G_PTRTOINT
0U, // G_INTTOPTR
0U, // G_BITCAST
0U, // G_INTRINSIC_TRUNC
0U, // G_INTRINSIC_ROUND
0U, // G_READCYCLECOUNTER
0U, // G_LOAD
0U, // G_SEXTLOAD
0U, // G_ZEXTLOAD
0U, // G_INDEXED_LOAD
0U, // G_INDEXED_SEXTLOAD
0U, // G_INDEXED_ZEXTLOAD
0U, // G_STORE
0U, // G_INDEXED_STORE
0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
0U, // G_ATOMIC_CMPXCHG
0U, // G_ATOMICRMW_XCHG
0U, // G_ATOMICRMW_ADD
0U, // G_ATOMICRMW_SUB
0U, // G_ATOMICRMW_AND
0U, // G_ATOMICRMW_NAND
0U, // G_ATOMICRMW_OR
0U, // G_ATOMICRMW_XOR
0U, // G_ATOMICRMW_MAX
0U, // G_ATOMICRMW_MIN
0U, // G_ATOMICRMW_UMAX
0U, // G_ATOMICRMW_UMIN
0U, // G_ATOMICRMW_FADD
0U, // G_ATOMICRMW_FSUB
0U, // G_FENCE
0U, // G_BRCOND
0U, // G_BRINDIRECT
0U, // G_INTRINSIC
0U, // G_INTRINSIC_W_SIDE_EFFECTS
0U, // G_ANYEXT
0U, // G_TRUNC
0U, // G_CONSTANT
0U, // G_FCONSTANT
0U, // G_VASTART
0U, // G_VAARG
0U, // G_SEXT
0U, // G_SEXT_INREG
0U, // G_ZEXT
0U, // G_SHL
0U, // G_LSHR
0U, // G_ASHR
0U, // G_ICMP
0U, // G_FCMP
0U, // G_SELECT
0U, // G_UADDO
0U, // G_UADDE
0U, // G_USUBO
0U, // G_USUBE
0U, // G_SADDO
0U, // G_SADDE
0U, // G_SSUBO
0U, // G_SSUBE
0U, // G_UMULO
0U, // G_SMULO
0U, // G_UMULH
0U, // G_SMULH
0U, // G_FADD
0U, // G_FSUB
0U, // G_FMUL
0U, // G_FMA
0U, // G_FMAD
0U, // G_FDIV
0U, // G_FREM
0U, // G_FPOW
0U, // G_FEXP
0U, // G_FEXP2
0U, // G_FLOG
0U, // G_FLOG2
0U, // G_FLOG10
0U, // G_FNEG
0U, // G_FPEXT
0U, // G_FPTRUNC
0U, // G_FPTOSI
0U, // G_FPTOUI
0U, // G_SITOFP
0U, // G_UITOFP
0U, // G_FABS
0U, // G_FCOPYSIGN
0U, // G_FCANONICALIZE
0U, // G_FMINNUM
0U, // G_FMAXNUM
0U, // G_FMINNUM_IEEE
0U, // G_FMAXNUM_IEEE
0U, // G_FMINIMUM
0U, // G_FMAXIMUM
0U, // G_PTR_ADD
0U, // G_PTR_MASK
0U, // G_SMIN
0U, // G_SMAX
0U, // G_UMIN
0U, // G_UMAX
0U, // G_BR
0U, // G_BRJT
0U, // G_INSERT_VECTOR_ELT
0U, // G_EXTRACT_VECTOR_ELT
0U, // G_SHUFFLE_VECTOR
0U, // G_CTTZ
0U, // G_CTTZ_ZERO_UNDEF
0U, // G_CTLZ
0U, // G_CTLZ_ZERO_UNDEF
0U, // G_CTPOP
0U, // G_BSWAP
0U, // G_BITREVERSE
0U, // G_FCEIL
0U, // G_FCOS
0U, // G_FSIN
0U, // G_FSQRT
0U, // G_FFLOOR
0U, // G_FRINT
0U, // G_FNEARBYINT
0U, // G_ADDRSPACE_CAST
0U, // G_BLOCK_ADDR
0U, // G_JUMP_TABLE
0U, // G_DYN_STACKALLOC
0U, // G_READ_REGISTER
0U, // G_WRITE_REGISTER
0U, // ABS
0U, // ADDSri
0U, // ADDSrr
0U, // ADDSrsi
0U, // ADDSrsr
0U, // ADJCALLSTACKDOWN
0U, // ADJCALLSTACKUP
0U, // ASRi
0U, // ASRr
0U, // B
0U, // BCCZi64
0U, // BCCi64
0U, // BL_PUSHLR
0U, // BMOVPCB_CALL
0U, // BMOVPCRX_CALL
0U, // BR_JTadd
0U, // BR_JTm_i12
0U, // BR_JTm_rs
0U, // BR_JTr
0U, // BX_CALL
0U, // CMP_SWAP_16
0U, // CMP_SWAP_32
0U, // CMP_SWAP_64
0U, // CMP_SWAP_8
0U, // CONSTPOOL_ENTRY
0U, // COPY_STRUCT_BYVAL_I32
0U, // CompilerBarrier
0U, // ITasm
0U, // Int_eh_sjlj_dispatchsetup
0U, // Int_eh_sjlj_longjmp
0U, // Int_eh_sjlj_setjmp
0U, // Int_eh_sjlj_setjmp_nofp
0U, // Int_eh_sjlj_setup_dispatch
0U, // JUMPTABLE_ADDRS
0U, // JUMPTABLE_INSTS
0U, // JUMPTABLE_TBB
0U, // JUMPTABLE_TBH
0U, // LDMIA_RET
128U, // LDRBT_POST
16384U, // LDRConstPool
0U, // LDRLIT_ga_abs
0U, // LDRLIT_ga_pcrel
0U, // LDRLIT_ga_pcrel_ldr
128U, // LDRT_POST
0U, // LEApcrel
0U, // LEApcrelJT
0U, // LSLi
0U, // LSLr
0U, // LSRi
0U, // LSRr
0U, // MEMCPY
0U, // MLAv5
0U, // MOVCCi
0U, // MOVCCi16
0U, // MOVCCi32imm
0U, // MOVCCr
0U, // MOVCCsi
0U, // MOVCCsr
0U, // MOVPCRX
0U, // MOVTi16_ga_pcrel
0U, // MOV_ga_pcrel
0U, // MOV_ga_pcrel_ldr
0U, // MOVi16_ga_pcrel
0U, // MOVi32imm
0U, // MOVsra_flag
0U, // MOVsrl_flag
0U, // MULv5
256U, // MVE_VANDIZ0v4i32
256U, // MVE_VANDIZ0v8i16
256U, // MVE_VANDIZ16v4i32
256U, // MVE_VANDIZ24v4i32
256U, // MVE_VANDIZ8v4i32
256U, // MVE_VANDIZ8v8i16
256U, // MVE_VORNIZ0v4i32
256U, // MVE_VORNIZ0v8i16
256U, // MVE_VORNIZ16v4i32
256U, // MVE_VORNIZ24v4i32
256U, // MVE_VORNIZ8v4i32
256U, // MVE_VORNIZ8v8i16
0U, // MVNCCi
0U, // PICADD
0U, // PICLDR
0U, // PICLDRB
0U, // PICLDRH
0U, // PICLDRSB
0U, // PICLDRSH
0U, // PICSTR
0U, // PICSTRB
0U, // PICSTRH
0U, // RORi
0U, // RORr
0U, // RRX
16384U, // RRXi
0U, // RSBSri
0U, // RSBSrsi
0U, // RSBSrsr
0U, // SMLALv5
0U, // SMULLv5
0U, // SPACE
128U, // STRBT_POST
0U, // STRBi_preidx
0U, // STRBr_preidx
0U, // STRH_preidx
128U, // STRT_POST
0U, // STRi_preidx
0U, // STRr_preidx
0U, // SUBS_PC_LR
0U, // SUBSri
0U, // SUBSrr
0U, // SUBSrsi
0U, // SUBSrsr
0U, // TAILJMPd
0U, // TAILJMPr
0U, // TAILJMPr4
0U, // TCRETURNdi
0U, // TCRETURNri
0U, // TPsoft
0U, // UMLALv5
0U, // UMULLv5
16768U, // VLD1LNdAsm_16
16768U, // VLD1LNdAsm_32
16768U, // VLD1LNdAsm_8
33152U, // VLD1LNdWB_fixed_Asm_16
33152U, // VLD1LNdWB_fixed_Asm_32
33152U, // VLD1LNdWB_fixed_Asm_8
524672U, // VLD1LNdWB_register_Asm_16
524672U, // VLD1LNdWB_register_Asm_32
524672U, // VLD1LNdWB_register_Asm_8
16768U, // VLD2LNdAsm_16
16768U, // VLD2LNdAsm_32
16768U, // VLD2LNdAsm_8
33152U, // VLD2LNdWB_fixed_Asm_16
33152U, // VLD2LNdWB_fixed_Asm_32
33152U, // VLD2LNdWB_fixed_Asm_8
524672U, // VLD2LNdWB_register_Asm_16
524672U, // VLD2LNdWB_register_Asm_32
524672U, // VLD2LNdWB_register_Asm_8
16768U, // VLD2LNqAsm_16
16768U, // VLD2LNqAsm_32
33152U, // VLD2LNqWB_fixed_Asm_16
33152U, // VLD2LNqWB_fixed_Asm_32
524672U, // VLD2LNqWB_register_Asm_16
524672U, // VLD2LNqWB_register_Asm_32
2U, // VLD3DUPdAsm_16
2U, // VLD3DUPdAsm_32
2U, // VLD3DUPdAsm_8
4U, // VLD3DUPdWB_fixed_Asm_16
4U, // VLD3DUPdWB_fixed_Asm_32
4U, // VLD3DUPdWB_fixed_Asm_8
16896U, // VLD3DUPdWB_register_Asm_16
16896U, // VLD3DUPdWB_register_Asm_32
16896U, // VLD3DUPdWB_register_Asm_8
2U, // VLD3DUPqAsm_16
2U, // VLD3DUPqAsm_32
2U, // VLD3DUPqAsm_8
4U, // VLD3DUPqWB_fixed_Asm_16
4U, // VLD3DUPqWB_fixed_Asm_32
4U, // VLD3DUPqWB_fixed_Asm_8
16896U, // VLD3DUPqWB_register_Asm_16
16896U, // VLD3DUPqWB_register_Asm_32
16896U, // VLD3DUPqWB_register_Asm_8
16768U, // VLD3LNdAsm_16
16768U, // VLD3LNdAsm_32
16768U, // VLD3LNdAsm_8
33152U, // VLD3LNdWB_fixed_Asm_16
33152U, // VLD3LNdWB_fixed_Asm_32
33152U, // VLD3LNdWB_fixed_Asm_8
524672U, // VLD3LNdWB_register_Asm_16
524672U, // VLD3LNdWB_register_Asm_32
524672U, // VLD3LNdWB_register_Asm_8
16768U, // VLD3LNqAsm_16
16768U, // VLD3LNqAsm_32
33152U, // VLD3LNqWB_fixed_Asm_16
33152U, // VLD3LNqWB_fixed_Asm_32
524672U, // VLD3LNqWB_register_Asm_16
524672U, // VLD3LNqWB_register_Asm_32
646U, // VLD3dAsm_16
646U, // VLD3dAsm_32
646U, // VLD3dAsm_8
774U, // VLD3dWB_fixed_Asm_16
774U, // VLD3dWB_fixed_Asm_32
774U, // VLD3dWB_fixed_Asm_8
50054U, // VLD3dWB_register_Asm_16
50054U, // VLD3dWB_register_Asm_32
50054U, // VLD3dWB_register_Asm_8
2U, // VLD3qAsm_16
2U, // VLD3qAsm_32
2U, // VLD3qAsm_8
4U, // VLD3qWB_fixed_Asm_16
4U, // VLD3qWB_fixed_Asm_32
4U, // VLD3qWB_fixed_Asm_8
16896U, // VLD3qWB_register_Asm_16
16896U, // VLD3qWB_register_Asm_32
16896U, // VLD3qWB_register_Asm_8
2U, // VLD4DUPdAsm_16
2U, // VLD4DUPdAsm_32
2U, // VLD4DUPdAsm_8
4U, // VLD4DUPdWB_fixed_Asm_16
4U, // VLD4DUPdWB_fixed_Asm_32
4U, // VLD4DUPdWB_fixed_Asm_8
16896U, // VLD4DUPdWB_register_Asm_16
16896U, // VLD4DUPdWB_register_Asm_32
16896U, // VLD4DUPdWB_register_Asm_8
2U, // VLD4DUPqAsm_16
2U, // VLD4DUPqAsm_32
2U, // VLD4DUPqAsm_8
4U, // VLD4DUPqWB_fixed_Asm_16
4U, // VLD4DUPqWB_fixed_Asm_32
4U, // VLD4DUPqWB_fixed_Asm_8
16896U, // VLD4DUPqWB_register_Asm_16
16896U, // VLD4DUPqWB_register_Asm_32
16896U, // VLD4DUPqWB_register_Asm_8
16768U, // VLD4LNdAsm_16
16768U, // VLD4LNdAsm_32
16768U, // VLD4LNdAsm_8
33152U, // VLD4LNdWB_fixed_Asm_16
33152U, // VLD4LNdWB_fixed_Asm_32
33152U, // VLD4LNdWB_fixed_Asm_8
524672U, // VLD4LNdWB_register_Asm_16
524672U, // VLD4LNdWB_register_Asm_32
524672U, // VLD4LNdWB_register_Asm_8
16768U, // VLD4LNqAsm_16
16768U, // VLD4LNqAsm_32
33152U, // VLD4LNqWB_fixed_Asm_16
33152U, // VLD4LNqWB_fixed_Asm_32
524672U, // VLD4LNqWB_register_Asm_16
524672U, // VLD4LNqWB_register_Asm_32
646U, // VLD4dAsm_16
646U, // VLD4dAsm_32
646U, // VLD4dAsm_8
774U, // VLD4dWB_fixed_Asm_16
774U, // VLD4dWB_fixed_Asm_32
774U, // VLD4dWB_fixed_Asm_8
50054U, // VLD4dWB_register_Asm_16
50054U, // VLD4dWB_register_Asm_32
50054U, // VLD4dWB_register_Asm_8
2U, // VLD4qAsm_16
2U, // VLD4qAsm_32
2U, // VLD4qAsm_8
4U, // VLD4qWB_fixed_Asm_16
4U, // VLD4qWB_fixed_Asm_32
4U, // VLD4qWB_fixed_Asm_8
16896U, // VLD4qWB_register_Asm_16
16896U, // VLD4qWB_register_Asm_32
16896U, // VLD4qWB_register_Asm_8
0U, // VMOVD0
0U, // VMOVDcc
0U, // VMOVHcc
0U, // VMOVQ0
0U, // VMOVScc
16768U, // VST1LNdAsm_16
16768U, // VST1LNdAsm_32
16768U, // VST1LNdAsm_8
33152U, // VST1LNdWB_fixed_Asm_16
33152U, // VST1LNdWB_fixed_Asm_32
33152U, // VST1LNdWB_fixed_Asm_8
524672U, // VST1LNdWB_register_Asm_16
524672U, // VST1LNdWB_register_Asm_32
524672U, // VST1LNdWB_register_Asm_8
16768U, // VST2LNdAsm_16
16768U, // VST2LNdAsm_32
16768U, // VST2LNdAsm_8
33152U, // VST2LNdWB_fixed_Asm_16
33152U, // VST2LNdWB_fixed_Asm_32
33152U, // VST2LNdWB_fixed_Asm_8
524672U, // VST2LNdWB_register_Asm_16
524672U, // VST2LNdWB_register_Asm_32
524672U, // VST2LNdWB_register_Asm_8
16768U, // VST2LNqAsm_16
16768U, // VST2LNqAsm_32
33152U, // VST2LNqWB_fixed_Asm_16
33152U, // VST2LNqWB_fixed_Asm_32
524672U, // VST2LNqWB_register_Asm_16
524672U, // VST2LNqWB_register_Asm_32
16768U, // VST3LNdAsm_16
16768U, // VST3LNdAsm_32
16768U, // VST3LNdAsm_8
33152U, // VST3LNdWB_fixed_Asm_16
33152U, // VST3LNdWB_fixed_Asm_32
33152U, // VST3LNdWB_fixed_Asm_8
524672U, // VST3LNdWB_register_Asm_16
524672U, // VST3LNdWB_register_Asm_32
524672U, // VST3LNdWB_register_Asm_8
16768U, // VST3LNqAsm_16
16768U, // VST3LNqAsm_32
33152U, // VST3LNqWB_fixed_Asm_16
33152U, // VST3LNqWB_fixed_Asm_32
524672U, // VST3LNqWB_register_Asm_16
524672U, // VST3LNqWB_register_Asm_32
646U, // VST3dAsm_16
646U, // VST3dAsm_32
646U, // VST3dAsm_8
774U, // VST3dWB_fixed_Asm_16
774U, // VST3dWB_fixed_Asm_32
774U, // VST3dWB_fixed_Asm_8
50054U, // VST3dWB_register_Asm_16
50054U, // VST3dWB_register_Asm_32
50054U, // VST3dWB_register_Asm_8
2U, // VST3qAsm_16
2U, // VST3qAsm_32
2U, // VST3qAsm_8
4U, // VST3qWB_fixed_Asm_16
4U, // VST3qWB_fixed_Asm_32
4U, // VST3qWB_fixed_Asm_8
16896U, // VST3qWB_register_Asm_16
16896U, // VST3qWB_register_Asm_32
16896U, // VST3qWB_register_Asm_8
16768U, // VST4LNdAsm_16
16768U, // VST4LNdAsm_32
16768U, // VST4LNdAsm_8
33152U, // VST4LNdWB_fixed_Asm_16
33152U, // VST4LNdWB_fixed_Asm_32
33152U, // VST4LNdWB_fixed_Asm_8
524672U, // VST4LNdWB_register_Asm_16
524672U, // VST4LNdWB_register_Asm_32
524672U, // VST4LNdWB_register_Asm_8
16768U, // VST4LNqAsm_16
16768U, // VST4LNqAsm_32
33152U, // VST4LNqWB_fixed_Asm_16
33152U, // VST4LNqWB_fixed_Asm_32
524672U, // VST4LNqWB_register_Asm_16
524672U, // VST4LNqWB_register_Asm_32
646U, // VST4dAsm_16
646U, // VST4dAsm_32
646U, // VST4dAsm_8
774U, // VST4dWB_fixed_Asm_16
774U, // VST4dWB_fixed_Asm_32
774U, // VST4dWB_fixed_Asm_8
50054U, // VST4dWB_register_Asm_16
50054U, // VST4dWB_register_Asm_32
50054U, // VST4dWB_register_Asm_8
2U, // VST4qAsm_16
2U, // VST4qAsm_32
2U, // VST4qAsm_8
4U, // VST4qWB_fixed_Asm_16
4U, // VST4qWB_fixed_Asm_32
4U, // VST4qWB_fixed_Asm_8
16896U, // VST4qWB_register_Asm_16
16896U, // VST4qWB_register_Asm_32
16896U, // VST4qWB_register_Asm_8
0U, // WIN__CHKSTK
0U, // WIN__DBZCHK
0U, // t2ABS
0U, // t2ADDSri
0U, // t2ADDSrr
0U, // t2ADDSrs
0U, // t2BF_LabelPseudo
0U, // t2BR_JT
0U, // t2DoLoopStart
0U, // t2LDMIA_RET
16384U, // t2LDRBpcrel
16384U, // t2LDRConstPool
16384U, // t2LDRHpcrel
16384U, // t2LDRSBpcrel
16384U, // t2LDRSHpcrel
0U, // t2LDRpci_pic
16384U, // t2LDRpcrel
0U, // t2LEApcrel
0U, // t2LEApcrelJT
0U, // t2LoopDec
0U, // t2LoopEnd
0U, // t2MOVCCasr
0U, // t2MOVCCi
0U, // t2MOVCCi16
0U, // t2MOVCCi32imm
0U, // t2MOVCClsl
0U, // t2MOVCClsr
0U, // t2MOVCCr
0U, // t2MOVCCror
1024U, // t2MOVSsi
1152U, // t2MOVSsr
0U, // t2MOVTi16_ga_pcrel
0U, // t2MOV_ga_pcrel
0U, // t2MOVi16_ga_pcrel
0U, // t2MOVi32imm
1024U, // t2MOVsi
1152U, // t2MOVsr
0U, // t2MVNCCi
0U, // t2RSBSri
0U, // t2RSBSrs
0U, // t2STRB_preidx
0U, // t2STRH_preidx
0U, // t2STR_preidx
0U, // t2SUBSri
0U, // t2SUBSrr
0U, // t2SUBSrs
0U, // t2TBB_JT
0U, // t2TBH_JT
0U, // t2WhileLoopStart
0U, // tADCS
0U, // tADDSi3
0U, // tADDSi8
0U, // tADDSrr
0U, // tADDframe
0U, // tADJCALLSTACKDOWN
0U, // tADJCALLSTACKUP
0U, // tBL_PUSHLR
0U, // tBRIND
0U, // tBR_JTr
0U, // tBX_CALL
0U, // tBX_RET
0U, // tBX_RET_vararg
0U, // tBfar
0U, // tLDMIA_UPD
16384U, // tLDRConstPool
0U, // tLDRLIT_ga_abs
0U, // tLDRLIT_ga_pcrel
0U, // tLDR_postidx
0U, // tLDRpci_pic
0U, // tLEApcrel
0U, // tLEApcrelJT
0U, // tLSLSri
0U, // tMOVCCr_pseudo
0U, // tPOP_RET
0U, // tRSBS
0U, // tSBCS
0U, // tSUBSi3
0U, // tSUBSi8
0U, // tSUBSrr
0U, // tTAILJMPd
0U, // tTAILJMPdND
0U, // tTAILJMPr
0U, // tTBB_JT
0U, // tTBH_JT
0U, // tTPsoft
1048576U, // ADCri
0U, // ADCrr
1572864U, // ADCrsi
0U, // ADCrsr
1048576U, // ADDri
0U, // ADDrr
1572864U, // ADDrsi
0U, // ADDrsr
1280U, // ADR
2U, // AESD
2U, // AESE
2U, // AESIMC
2U, // AESMC
1048576U, // ANDri
0U, // ANDrr
1572864U, // ANDrsi
0U, // ANDrsr
1408U, // BFC
2098688U, // BFI
1048576U, // BICri
0U, // BICrr
1572864U, // BICrsi
0U, // BICrsr
0U, // BKPT
0U, // BL
0U, // BLX
2U, // BLX_pred
0U, // BLXi
2U, // BL_pred
0U, // BX
2U, // BXJ
0U, // BX_RET
2U, // BX_pred
2U, // Bcc
66440U, // CDP
0U, // CDP2
0U, // CLREX
16384U, // CLZ
1664U, // CMNri
16384U, // CMNzrr
1792U, // CMNzrsi
1152U, // CMNzrsr
1664U, // CMPri
16384U, // CMPrr
1792U, // CMPrsi
1152U, // CMPrsr
0U, // CPS1p
2U, // CPS2p
17920U, // CPS3p
17920U, // CRC32B
17920U, // CRC32CB
17920U, // CRC32CH
17920U, // CRC32CW
17920U, // CRC32H
17920U, // CRC32W
2U, // DBG
0U, // DMB
0U, // DSB
1048576U, // EORri
0U, // EORrr
1572864U, // EORrsi
0U, // EORrsr
0U, // ERET
10U, // FCONSTD
1920U, // FCONSTH
1920U, // FCONSTS
652U, // FLDMXDB_UPD
18432U, // FLDMXIA
652U, // FLDMXIA_UPD
0U, // FMSTAT
652U, // FSTMXDB_UPD
18432U, // FSTMXIA
652U, // FSTMXIA_UPD
2U, // HINT
0U, // HLT
0U, // HVC
0U, // ISB
128U, // LDA
128U, // LDAB
128U, // LDAEX
128U, // LDAEXB
0U, // LDAEXD
128U, // LDAEXH
128U, // LDAH
0U, // LDC2L_OFFSET
2176U, // LDC2L_OPTION
2304U, // LDC2L_POST
0U, // LDC2L_PRE
0U, // LDC2_OFFSET
2176U, // LDC2_OPTION
2304U, // LDC2_POST
0U, // LDC2_PRE
2446U, // LDCL_OFFSET
2624014U, // LDCL_OPTION
3148302U, // LDCL_POST
2702U, // LDCL_PRE
2446U, // LDC_OFFSET
2624014U, // LDC_OPTION
3148302U, // LDC_POST
2702U, // LDC_PRE
18432U, // LDMDA
652U, // LDMDA_UPD
18432U, // LDMDB
652U, // LDMDB_UPD
18432U, // LDMIA
652U, // LDMIA_UPD
18432U, // LDMIB
652U, // LDMIB_UPD
3672576U, // LDRBT_POST_IMM
3672576U, // LDRBT_POST_REG
3672576U, // LDRB_POST_IMM
3672576U, // LDRB_POST_REG
2816U, // LDRB_PRE_IMM
2944U, // LDRB_PRE_REG
3072U, // LDRBi12
3200U, // LDRBrs
4194304U, // LDRD
38273024U, // LDRD_POST
5242880U, // LDRD_PRE
128U, // LDREX
128U, // LDREXB
0U, // LDREXD
128U, // LDREXH
3328U, // LDRH
5769728U, // LDRHTi
6294016U, // LDRHTr
6818304U, // LDRH_POST
3456U, // LDRH_PRE
3328U, // LDRSB
5769728U, // LDRSBTi
6294016U, // LDRSBTr
6818304U, // LDRSB_POST
3456U, // LDRSB_PRE
3328U, // LDRSH
5769728U, // LDRSHTi
6294016U, // LDRSHTr
6818304U, // LDRSH_POST
3456U, // LDRSH_PRE
3672576U, // LDRT_POST_IMM
3672576U, // LDRT_POST_REG
3672576U, // LDR_POST_IMM
3672576U, // LDR_POST_REG
2816U, // LDR_PRE_IMM
2944U, // LDR_PRE_REG
3072U, // LDRcp
3072U, // LDRi12
3200U, // LDRrs
74531720U, // MCR
3584U, // MCR2
108086152U, // MCRR
7864832U, // MCRR2
33554432U, // MLA
33554432U, // MLS
0U, // MOVPCLR
17920U, // MOVTi16
1664U, // MOVi
16384U, // MOVi16
16384U, // MOVr
16384U, // MOVr_TC
1792U, // MOVsi
1152U, // MOVsr
0U, // MRC
0U, // MRC2
0U, // MRRC
0U, // MRRC2
16U, // MRS
3712U, // MRSbanked
18U, // MRSsys
648U, // MSR
0U, // MSRbanked
20U, // MSRi
0U, // MUL
524288U, // MVE_ASRLi
524288U, // MVE_ASRLr
2U, // MVE_DLSTP_16
2U, // MVE_DLSTP_32
2U, // MVE_DLSTP_64
2U, // MVE_DLSTP_8
0U, // MVE_LCTP
0U, // MVE_LETP
524288U, // MVE_LSLLi
524288U, // MVE_LSLLr
524288U, // MVE_LSRL
17920U, // MVE_SQRSHR
8388608U, // MVE_SQRSHRL
17920U, // MVE_SQSHL
524288U, // MVE_SQSHLL
17920U, // MVE_SRSHR
524288U, // MVE_SRSHRL
17920U, // MVE_UQRSHL
8388608U, // MVE_UQRSHLL
17920U, // MVE_UQSHL
524288U, // MVE_UQSHLL
17920U, // MVE_URSHR
524288U, // MVE_URSHRL
8914432U, // MVE_VABAVs16
8914432U, // MVE_VABAVs32
8914432U, // MVE_VABAVs8
8914432U, // MVE_VABAVu16
8914432U, // MVE_VABAVu32
8914432U, // MVE_VABAVu8
0U, // MVE_VABDf16
0U, // MVE_VABDf32
0U, // MVE_VABDs16
0U, // MVE_VABDs32
0U, // MVE_VABDs8
0U, // MVE_VABDu16
0U, // MVE_VABDu32
0U, // MVE_VABDu8
16384U, // MVE_VABSf16
16384U, // MVE_VABSf32
16384U, // MVE_VABSs16
16384U, // MVE_VABSs32
16384U, // MVE_VABSs8
8914432U, // MVE_VADC
8914432U, // MVE_VADCI
524288U, // MVE_VADDLVs32acc
0U, // MVE_VADDLVs32no_acc
524288U, // MVE_VADDLVu32acc
0U, // MVE_VADDLVu32no_acc
17920U, // MVE_VADDVs16acc
16384U, // MVE_VADDVs16no_acc
17920U, // MVE_VADDVs32acc
16384U, // MVE_VADDVs32no_acc
17920U, // MVE_VADDVs8acc
16384U, // MVE_VADDVs8no_acc
17920U, // MVE_VADDVu16acc
16384U, // MVE_VADDVu16no_acc
17920U, // MVE_VADDVu32acc
16384U, // MVE_VADDVu32no_acc
17920U, // MVE_VADDVu8acc
16384U, // MVE_VADDVu8no_acc
0U, // MVE_VADD_qr_f16
0U, // MVE_VADD_qr_f32
0U, // MVE_VADD_qr_i16
0U, // MVE_VADD_qr_i32
0U, // MVE_VADD_qr_i8
0U, // MVE_VADDf16
0U, // MVE_VADDf32
0U, // MVE_VADDi16
0U, // MVE_VADDi32
0U, // MVE_VADDi8
0U, // MVE_VAND
0U, // MVE_VBIC
256U, // MVE_VBICIZ0v4i32
256U, // MVE_VBICIZ0v8i16
256U, // MVE_VBICIZ16v4i32
256U, // MVE_VBICIZ24v4i32
256U, // MVE_VBICIZ8v4i32
256U, // MVE_VBICIZ8v8i16
0U, // MVE_VBRSR16
0U, // MVE_VBRSR32
0U, // MVE_VBRSR8
33554432U, // MVE_VCADDf16
33554432U, // MVE_VCADDf32
33554432U, // MVE_VCADDi16
33554432U, // MVE_VCADDi32
33554432U, // MVE_VCADDi8
16384U, // MVE_VCLSs16
16384U, // MVE_VCLSs32
16384U, // MVE_VCLSs8
16384U, // MVE_VCLZs16
16384U, // MVE_VCLZs32
16384U, // MVE_VCLZs8
42468864U, // MVE_VCMLAf16
42468864U, // MVE_VCMLAf32
0U, // MVE_VCMPf16
0U, // MVE_VCMPf16r
0U, // MVE_VCMPf32
0U, // MVE_VCMPf32r
0U, // MVE_VCMPi16
0U, // MVE_VCMPi16r
0U, // MVE_VCMPi32
0U, // MVE_VCMPi32r
0U, // MVE_VCMPi8
0U, // MVE_VCMPi8r
0U, // MVE_VCMPs16
0U, // MVE_VCMPs16r
0U, // MVE_VCMPs32
0U, // MVE_VCMPs32r
0U, // MVE_VCMPs8
0U, // MVE_VCMPs8r
0U, // MVE_VCMPu16
0U, // MVE_VCMPu16r
0U, // MVE_VCMPu32
0U, // MVE_VCMPu32r
0U, // MVE_VCMPu8
0U, // MVE_VCMPu8r
33554432U, // MVE_VCMULf16
33554432U, // MVE_VCMULf32
2U, // MVE_VCTP16
2U, // MVE_VCTP32
2U, // MVE_VCTP64
2U, // MVE_VCTP8
2U, // MVE_VCVTf16f32bh
2U, // MVE_VCVTf16f32th
662U, // MVE_VCVTf16s16_fix
0U, // MVE_VCVTf16s16n
662U, // MVE_VCVTf16u16_fix
0U, // MVE_VCVTf16u16n
2U, // MVE_VCVTf32f16bh
2U, // MVE_VCVTf32f16th
662U, // MVE_VCVTf32s32_fix
0U, // MVE_VCVTf32s32n
662U, // MVE_VCVTf32u32_fix
0U, // MVE_VCVTf32u32n
662U, // MVE_VCVTs16f16_fix
0U, // MVE_VCVTs16f16a
0U, // MVE_VCVTs16f16m
0U, // MVE_VCVTs16f16n
0U, // MVE_VCVTs16f16p
0U, // MVE_VCVTs16f16z
662U, // MVE_VCVTs32f32_fix
0U, // MVE_VCVTs32f32a
0U, // MVE_VCVTs32f32m
0U, // MVE_VCVTs32f32n
0U, // MVE_VCVTs32f32p
0U, // MVE_VCVTs32f32z
662U, // MVE_VCVTu16f16_fix
0U, // MVE_VCVTu16f16a
0U, // MVE_VCVTu16f16m
0U, // MVE_VCVTu16f16n
0U, // MVE_VCVTu16f16p
0U, // MVE_VCVTu16f16z
662U, // MVE_VCVTu32f32_fix
0U, // MVE_VCVTu32f32a
0U, // MVE_VCVTu32f32m
0U, // MVE_VCVTu32f32n
0U, // MVE_VCVTu32f32p
0U, // MVE_VCVTu32f32z
8912896U, // MVE_VDDUPu16
8912896U, // MVE_VDDUPu32
8912896U, // MVE_VDDUPu8
16384U, // MVE_VDUP16
16384U, // MVE_VDUP32
16384U, // MVE_VDUP8
42467328U, // MVE_VDWDUPu16
42467328U, // MVE_VDWDUPu32
42467328U, // MVE_VDWDUPu8
0U, // MVE_VEOR
8914432U, // MVE_VFMA_qr_Sf16
8914432U, // MVE_VFMA_qr_Sf32
8914432U, // MVE_VFMA_qr_f16
8914432U, // MVE_VFMA_qr_f32
8914432U, // MVE_VFMAf16
8914432U, // MVE_VFMAf32
8914432U, // MVE_VFMSf16
8914432U, // MVE_VFMSf32
0U, // MVE_VHADD_qr_s16
0U, // MVE_VHADD_qr_s32
0U, // MVE_VHADD_qr_s8
0U, // MVE_VHADD_qr_u16
0U, // MVE_VHADD_qr_u32
0U, // MVE_VHADD_qr_u8
0U, // MVE_VHADDs16
0U, // MVE_VHADDs32
0U, // MVE_VHADDs8
0U, // MVE_VHADDu16
0U, // MVE_VHADDu32
0U, // MVE_VHADDu8
33554432U, // MVE_VHCADDs16
33554432U, // MVE_VHCADDs32
33554432U, // MVE_VHCADDs8
0U, // MVE_VHSUB_qr_s16
0U, // MVE_VHSUB_qr_s32
0U, // MVE_VHSUB_qr_s8
0U, // MVE_VHSUB_qr_u16
0U, // MVE_VHSUB_qr_u32
0U, // MVE_VHSUB_qr_u8
0U, // MVE_VHSUBs16
0U, // MVE_VHSUBs32
0U, // MVE_VHSUBs8
0U, // MVE_VHSUBu16
0U, // MVE_VHSUBu32
0U, // MVE_VHSUBu8
8912896U, // MVE_VIDUPu16
8912896U, // MVE_VIDUPu32
8912896U, // MVE_VIDUPu8
42467328U, // MVE_VIWDUPu16
42467328U, // MVE_VIWDUPu32
42467328U, // MVE_VIWDUPu8
0U, // MVE_VLD20_16
0U, // MVE_VLD20_16_wb
0U, // MVE_VLD20_32
0U, // MVE_VLD20_32_wb
0U, // MVE_VLD20_8
0U, // MVE_VLD20_8_wb
0U, // MVE_VLD21_16
0U, // MVE_VLD21_16_wb
0U, // MVE_VLD21_32
0U, // MVE_VLD21_32_wb
0U, // MVE_VLD21_8
0U, // MVE_VLD21_8_wb
0U, // MVE_VLD40_16
0U, // MVE_VLD40_16_wb
0U, // MVE_VLD40_32
0U, // MVE_VLD40_32_wb
0U, // MVE_VLD40_8
0U, // MVE_VLD40_8_wb
0U, // MVE_VLD41_16
0U, // MVE_VLD41_16_wb
0U, // MVE_VLD41_32
0U, // MVE_VLD41_32_wb
0U, // MVE_VLD41_8
0U, // MVE_VLD41_8_wb
0U, // MVE_VLD42_16
0U, // MVE_VLD42_16_wb
0U, // MVE_VLD42_32
0U, // MVE_VLD42_32_wb
0U, // MVE_VLD42_8
0U, // MVE_VLD42_8_wb
0U, // MVE_VLD43_16
0U, // MVE_VLD43_16_wb
0U, // MVE_VLD43_32
0U, // MVE_VLD43_32_wb
0U, // MVE_VLD43_8
0U, // MVE_VLD43_8_wb
3840U, // MVE_VLDRBS16
100864U, // MVE_VLDRBS16_post
3968U, // MVE_VLDRBS16_pre
4096U, // MVE_VLDRBS16_rq
3840U, // MVE_VLDRBS32
100864U, // MVE_VLDRBS32_post
3968U, // MVE_VLDRBS32_pre
4096U, // MVE_VLDRBS32_rq
3840U, // MVE_VLDRBU16
100864U, // MVE_VLDRBU16_post
3968U, // MVE_VLDRBU16_pre
4096U, // MVE_VLDRBU16_rq
3840U, // MVE_VLDRBU32
100864U, // MVE_VLDRBU32_post
3968U, // MVE_VLDRBU32_pre
4096U, // MVE_VLDRBU32_rq
3840U, // MVE_VLDRBU8
100864U, // MVE_VLDRBU8_post
4224U, // MVE_VLDRBU8_pre
4096U, // MVE_VLDRBU8_rq
3840U, // MVE_VLDRDU64_qi
3968U, // MVE_VLDRDU64_qi_pre
4352U, // MVE_VLDRDU64_rq
4096U, // MVE_VLDRDU64_rq_u
3840U, // MVE_VLDRHS32
100864U, // MVE_VLDRHS32_post
3968U, // MVE_VLDRHS32_pre
4480U, // MVE_VLDRHS32_rq
4096U, // MVE_VLDRHS32_rq_u
3840U, // MVE_VLDRHU16
100864U, // MVE_VLDRHU16_post
4224U, // MVE_VLDRHU16_pre
4480U, // MVE_VLDRHU16_rq
4096U, // MVE_VLDRHU16_rq_u
3840U, // MVE_VLDRHU32
100864U, // MVE_VLDRHU32_post
3968U, // MVE_VLDRHU32_pre
4480U, // MVE_VLDRHU32_rq
4096U, // MVE_VLDRHU32_rq_u
3840U, // MVE_VLDRWU32
100864U, // MVE_VLDRWU32_post
4224U, // MVE_VLDRWU32_pre
3840U, // MVE_VLDRWU32_qi
3968U, // MVE_VLDRWU32_qi_pre
4608U, // MVE_VLDRWU32_rq
4096U, // MVE_VLDRWU32_rq_u
17920U, // MVE_VMAXAVs16
17920U, // MVE_VMAXAVs32
17920U, // MVE_VMAXAVs8
17920U, // MVE_VMAXAs16
17920U, // MVE_VMAXAs32
17920U, // MVE_VMAXAs8
17920U, // MVE_VMAXNMAVf16
17920U, // MVE_VMAXNMAVf32
17920U, // MVE_VMAXNMAf16
17920U, // MVE_VMAXNMAf32
17920U, // MVE_VMAXNMVf16
17920U, // MVE_VMAXNMVf32
0U, // MVE_VMAXNMf16
0U, // MVE_VMAXNMf32
17920U, // MVE_VMAXVs16
17920U, // MVE_VMAXVs32
17920U, // MVE_VMAXVs8
17920U, // MVE_VMAXVu16
17920U, // MVE_VMAXVu32
17920U, // MVE_VMAXVu8
0U, // MVE_VMAXs16
0U, // MVE_VMAXs32
0U, // MVE_VMAXs8
0U, // MVE_VMAXu16
0U, // MVE_VMAXu32
0U, // MVE_VMAXu8
17920U, // MVE_VMINAVs16
17920U, // MVE_VMINAVs32
17920U, // MVE_VMINAVs8
17920U, // MVE_VMINAs16
17920U, // MVE_VMINAs32
17920U, // MVE_VMINAs8
17920U, // MVE_VMINNMAVf16
17920U, // MVE_VMINNMAVf32
17920U, // MVE_VMINNMAf16
17920U, // MVE_VMINNMAf32
17920U, // MVE_VMINNMVf16
17920U, // MVE_VMINNMVf32
0U, // MVE_VMINNMf16
0U, // MVE_VMINNMf32
17920U, // MVE_VMINVs16
17920U, // MVE_VMINVs32
17920U, // MVE_VMINVs8
17920U, // MVE_VMINVu16
17920U, // MVE_VMINVu32
17920U, // MVE_VMINVu8
0U, // MVE_VMINs16
0U, // MVE_VMINs32
0U, // MVE_VMINs8
0U, // MVE_VMINu16
0U, // MVE_VMINu32
0U, // MVE_VMINu8
8914432U, // MVE_VMLADAVas16
8914432U, // MVE_VMLADAVas32
8914432U, // MVE_VMLADAVas8
8914432U, // MVE_VMLADAVau16
8914432U, // MVE_VMLADAVau32
8914432U, // MVE_VMLADAVau8
8914432U, // MVE_VMLADAVaxs16
8914432U, // MVE_VMLADAVaxs32
8914432U, // MVE_VMLADAVaxs8
0U, // MVE_VMLADAVs16
0U, // MVE_VMLADAVs32
0U, // MVE_VMLADAVs8
0U, // MVE_VMLADAVu16
0U, // MVE_VMLADAVu32
0U, // MVE_VMLADAVu8
0U, // MVE_VMLADAVxs16
0U, // MVE_VMLADAVxs32
0U, // MVE_VMLADAVxs8
34078720U, // MVE_VMLALDAVas16
34078720U, // MVE_VMLALDAVas32
34078720U, // MVE_VMLALDAVau16
34078720U, // MVE_VMLALDAVau32
34078720U, // MVE_VMLALDAVaxs16
34078720U, // MVE_VMLALDAVaxs32
33554432U, // MVE_VMLALDAVs16
33554432U, // MVE_VMLALDAVs32
33554432U, // MVE_VMLALDAVu16
33554432U, // MVE_VMLALDAVu32
33554432U, // MVE_VMLALDAVxs16
33554432U, // MVE_VMLALDAVxs32
8914432U, // MVE_VMLAS_qr_s16
8914432U, // MVE_VMLAS_qr_s32
8914432U, // MVE_VMLAS_qr_s8
8914432U, // MVE_VMLAS_qr_u16
8914432U, // MVE_VMLAS_qr_u32
8914432U, // MVE_VMLAS_qr_u8
8914432U, // MVE_VMLA_qr_s16
8914432U, // MVE_VMLA_qr_s32
8914432U, // MVE_VMLA_qr_s8
8914432U, // MVE_VMLA_qr_u16
8914432U, // MVE_VMLA_qr_u32
8914432U, // MVE_VMLA_qr_u8
8914432U, // MVE_VMLSDAVas16
8914432U, // MVE_VMLSDAVas32
8914432U, // MVE_VMLSDAVas8
8914432U, // MVE_VMLSDAVaxs16
8914432U, // MVE_VMLSDAVaxs32
8914432U, // MVE_VMLSDAVaxs8
0U, // MVE_VMLSDAVs16
0U, // MVE_VMLSDAVs32
0U, // MVE_VMLSDAVs8
0U, // MVE_VMLSDAVxs16
0U, // MVE_VMLSDAVxs32
0U, // MVE_VMLSDAVxs8
34078720U, // MVE_VMLSLDAVas16
34078720U, // MVE_VMLSLDAVas32
34078720U, // MVE_VMLSLDAVaxs16
34078720U, // MVE_VMLSLDAVaxs32
33554432U, // MVE_VMLSLDAVs16
33554432U, // MVE_VMLSLDAVs32
33554432U, // MVE_VMLSLDAVxs16
33554432U, // MVE_VMLSLDAVxs32
16384U, // MVE_VMOVLs16bh
16384U, // MVE_VMOVLs16th
16384U, // MVE_VMOVLs8bh
16384U, // MVE_VMOVLs8th
16384U, // MVE_VMOVLu16bh
16384U, // MVE_VMOVLu16th
16384U, // MVE_VMOVLu8bh
16384U, // MVE_VMOVLu8th
17920U, // MVE_VMOVNi16bh
17920U, // MVE_VMOVNi16th
17920U, // MVE_VMOVNi32bh
17920U, // MVE_VMOVNi32th
114688U, // MVE_VMOV_from_lane_32
114688U, // MVE_VMOV_from_lane_s16
114688U, // MVE_VMOV_from_lane_s8
114688U, // MVE_VMOV_from_lane_u16
114688U, // MVE_VMOV_from_lane_u8
9569176U, // MVE_VMOV_q_rr
134217728U, // MVE_VMOV_rr_q
26U, // MVE_VMOV_to_lane_16
26U, // MVE_VMOV_to_lane_32
26U, // MVE_VMOV_to_lane_8
1920U, // MVE_VMOVimmf32
4736U, // MVE_VMOVimmi16
4736U, // MVE_VMOVimmi32
0U, // MVE_VMOVimmi64
4736U, // MVE_VMOVimmi8
0U, // MVE_VMULHs16
0U, // MVE_VMULHs32
0U, // MVE_VMULHs8
0U, // MVE_VMULHu16
0U, // MVE_VMULHu32
0U, // MVE_VMULHu8
0U, // MVE_VMULLBp16
0U, // MVE_VMULLBp8
0U, // MVE_VMULLBs16
0U, // MVE_VMULLBs32
0U, // MVE_VMULLBs8
0U, // MVE_VMULLBu16
0U, // MVE_VMULLBu32
0U, // MVE_VMULLBu8
0U, // MVE_VMULLTp16
0U, // MVE_VMULLTp8
0U, // MVE_VMULLTs16
0U, // MVE_VMULLTs32
0U, // MVE_VMULLTs8
0U, // MVE_VMULLTu16
0U, // MVE_VMULLTu32
0U, // MVE_VMULLTu8
0U, // MVE_VMUL_qr_f16
0U, // MVE_VMUL_qr_f32
0U, // MVE_VMUL_qr_i16
0U, // MVE_VMUL_qr_i32
0U, // MVE_VMUL_qr_i8
0U, // MVE_VMULf16
0U, // MVE_VMULf32
0U, // MVE_VMULi16
0U, // MVE_VMULi32
0U, // MVE_VMULi8
16384U, // MVE_VMVN
4736U, // MVE_VMVNimmi16
4736U, // MVE_VMVNimmi32
16384U, // MVE_VNEGf16
16384U, // MVE_VNEGf32
16384U, // MVE_VNEGs16
16384U, // MVE_VNEGs32
16384U, // MVE_VNEGs8
0U, // MVE_VORN
0U, // MVE_VORR
256U, // MVE_VORRIZ0v4i32
256U, // MVE_VORRIZ0v8i16
256U, // MVE_VORRIZ16v4i32
256U, // MVE_VORRIZ24v4i32
256U, // MVE_VORRIZ8v4i32
256U, // MVE_VORRIZ8v8i16
0U, // MVE_VPNOT
0U, // MVE_VPSEL
0U, // MVE_VPST
0U, // MVE_VPTv16i8
0U, // MVE_VPTv16i8r
0U, // MVE_VPTv16s8
0U, // MVE_VPTv16s8r
0U, // MVE_VPTv16u8
0U, // MVE_VPTv16u8r
0U, // MVE_VPTv4f32
0U, // MVE_VPTv4f32r
0U, // MVE_VPTv4i32
0U, // MVE_VPTv4i32r
0U, // MVE_VPTv4s32
0U, // MVE_VPTv4s32r
0U, // MVE_VPTv4u32
0U, // MVE_VPTv4u32r
0U, // MVE_VPTv8f16
0U, // MVE_VPTv8f16r
0U, // MVE_VPTv8i16
0U, // MVE_VPTv8i16r
0U, // MVE_VPTv8s16
0U, // MVE_VPTv8s16r
0U, // MVE_VPTv8u16
0U, // MVE_VPTv8u16r
16384U, // MVE_VQABSs16
16384U, // MVE_VQABSs32
16384U, // MVE_VQABSs8
0U, // MVE_VQADD_qr_s16
0U, // MVE_VQADD_qr_s32
0U, // MVE_VQADD_qr_s8
0U, // MVE_VQADD_qr_u16
0U, // MVE_VQADD_qr_u32
0U, // MVE_VQADD_qr_u8
0U, // MVE_VQADDs16
0U, // MVE_VQADDs32
0U, // MVE_VQADDs8
0U, // MVE_VQADDu16
0U, // MVE_VQADDu32
0U, // MVE_VQADDu8
8914432U, // MVE_VQDMLADHXs16
8914432U, // MVE_VQDMLADHXs32
8914432U, // MVE_VQDMLADHXs8
8914432U, // MVE_VQDMLADHs16
8914432U, // MVE_VQDMLADHs32
8914432U, // MVE_VQDMLADHs8
8914432U, // MVE_VQDMLAH_qrs16
8914432U, // MVE_VQDMLAH_qrs32
8914432U, // MVE_VQDMLAH_qrs8
8914432U, // MVE_VQDMLASH_qrs16
8914432U, // MVE_VQDMLASH_qrs32
8914432U, // MVE_VQDMLASH_qrs8
8914432U, // MVE_VQDMLSDHXs16
8914432U, // MVE_VQDMLSDHXs32
8914432U, // MVE_VQDMLSDHXs8
8914432U, // MVE_VQDMLSDHs16
8914432U, // MVE_VQDMLSDHs32
8914432U, // MVE_VQDMLSDHs8
0U, // MVE_VQDMULH_qr_s16
0U, // MVE_VQDMULH_qr_s32
0U, // MVE_VQDMULH_qr_s8
0U, // MVE_VQDMULHi16
0U, // MVE_VQDMULHi32
0U, // MVE_VQDMULHi8
0U, // MVE_VQDMULL_qr_s16bh
0U, // MVE_VQDMULL_qr_s16th
0U, // MVE_VQDMULL_qr_s32bh
0U, // MVE_VQDMULL_qr_s32th
0U, // MVE_VQDMULLs16bh
0U, // MVE_VQDMULLs16th
0U, // MVE_VQDMULLs32bh
0U, // MVE_VQDMULLs32th
17920U, // MVE_VQMOVNs16bh
17920U, // MVE_VQMOVNs16th
17920U, // MVE_VQMOVNs32bh
17920U, // MVE_VQMOVNs32th
17920U, // MVE_VQMOVNu16bh
17920U, // MVE_VQMOVNu16th
17920U, // MVE_VQMOVNu32bh
17920U, // MVE_VQMOVNu32th
17920U, // MVE_VQMOVUNs16bh
17920U, // MVE_VQMOVUNs16th
17920U, // MVE_VQMOVUNs32bh
17920U, // MVE_VQMOVUNs32th
16384U, // MVE_VQNEGs16
16384U, // MVE_VQNEGs32
16384U, // MVE_VQNEGs8
8914432U, // MVE_VQRDMLADHXs16
8914432U, // MVE_VQRDMLADHXs32
8914432U, // MVE_VQRDMLADHXs8
8914432U, // MVE_VQRDMLADHs16
8914432U, // MVE_VQRDMLADHs32
8914432U, // MVE_VQRDMLADHs8
8914432U, // MVE_VQRDMLAH_qrs16
8914432U, // MVE_VQRDMLAH_qrs32
8914432U, // MVE_VQRDMLAH_qrs8
8914432U, // MVE_VQRDMLASH_qrs16
8914432U, // MVE_VQRDMLASH_qrs32
8914432U, // MVE_VQRDMLASH_qrs8
8914432U, // MVE_VQRDMLSDHXs16
8914432U, // MVE_VQRDMLSDHXs32
8914432U, // MVE_VQRDMLSDHXs8
8914432U, // MVE_VQRDMLSDHs16
8914432U, // MVE_VQRDMLSDHs32
8914432U, // MVE_VQRDMLSDHs8
0U, // MVE_VQRDMULH_qr_s16
0U, // MVE_VQRDMULH_qr_s32
0U, // MVE_VQRDMULH_qr_s8
0U, // MVE_VQRDMULHi16
0U, // MVE_VQRDMULHi32
0U, // MVE_VQRDMULHi8
0U, // MVE_VQRSHL_by_vecs16
0U, // MVE_VQRSHL_by_vecs32
0U, // MVE_VQRSHL_by_vecs8
0U, // MVE_VQRSHL_by_vecu16
0U, // MVE_VQRSHL_by_vecu32
0U, // MVE_VQRSHL_by_vecu8
17920U, // MVE_VQRSHL_qrs16
17920U, // MVE_VQRSHL_qrs32
17920U, // MVE_VQRSHL_qrs8
17920U, // MVE_VQRSHL_qru16
17920U, // MVE_VQRSHL_qru32
17920U, // MVE_VQRSHL_qru8
8914432U, // MVE_VQRSHRNbhs16
8914432U, // MVE_VQRSHRNbhs32
8914432U, // MVE_VQRSHRNbhu16
8914432U, // MVE_VQRSHRNbhu32
8914432U, // MVE_VQRSHRNths16
8914432U, // MVE_VQRSHRNths32
8914432U, // MVE_VQRSHRNthu16
8914432U, // MVE_VQRSHRNthu32
8914432U, // MVE_VQRSHRUNs16bh
8914432U, // MVE_VQRSHRUNs16th
8914432U, // MVE_VQRSHRUNs32bh
8914432U, // MVE_VQRSHRUNs32th
0U, // MVE_VQSHLU_imms16
0U, // MVE_VQSHLU_imms32
0U, // MVE_VQSHLU_imms8
0U, // MVE_VQSHL_by_vecs16
0U, // MVE_VQSHL_by_vecs32
0U, // MVE_VQSHL_by_vecs8
0U, // MVE_VQSHL_by_vecu16
0U, // MVE_VQSHL_by_vecu32
0U, // MVE_VQSHL_by_vecu8
17920U, // MVE_VQSHL_qrs16
17920U, // MVE_VQSHL_qrs32
17920U, // MVE_VQSHL_qrs8
17920U, // MVE_VQSHL_qru16
17920U, // MVE_VQSHL_qru32
17920U, // MVE_VQSHL_qru8
0U, // MVE_VQSHLimms16
0U, // MVE_VQSHLimms32
0U, // MVE_VQSHLimms8
0U, // MVE_VQSHLimmu16
0U, // MVE_VQSHLimmu32
0U, // MVE_VQSHLimmu8
8914432U, // MVE_VQSHRNbhs16
8914432U, // MVE_VQSHRNbhs32
8914432U, // MVE_VQSHRNbhu16
8914432U, // MVE_VQSHRNbhu32
8914432U, // MVE_VQSHRNths16
8914432U, // MVE_VQSHRNths32
8914432U, // MVE_VQSHRNthu16
8914432U, // MVE_VQSHRNthu32
8914432U, // MVE_VQSHRUNs16bh
8914432U, // MVE_VQSHRUNs16th
8914432U, // MVE_VQSHRUNs32bh
8914432U, // MVE_VQSHRUNs32th
0U, // MVE_VQSUB_qr_s16
0U, // MVE_VQSUB_qr_s32
0U, // MVE_VQSUB_qr_s8
0U, // MVE_VQSUB_qr_u16
0U, // MVE_VQSUB_qr_u32
0U, // MVE_VQSUB_qr_u8
0U, // MVE_VQSUBs16
0U, // MVE_VQSUBs32
0U, // MVE_VQSUBs8
0U, // MVE_VQSUBu16
0U, // MVE_VQSUBu32
0U, // MVE_VQSUBu8
16384U, // MVE_VREV16_8
16384U, // MVE_VREV32_16
16384U, // MVE_VREV32_8
16384U, // MVE_VREV64_16
16384U, // MVE_VREV64_32
16384U, // MVE_VREV64_8
0U, // MVE_VRHADDs16
0U, // MVE_VRHADDs32
0U, // MVE_VRHADDs8
0U, // MVE_VRHADDu16
0U, // MVE_VRHADDu32
0U, // MVE_VRHADDu8
16384U, // MVE_VRINTf16A
16384U, // MVE_VRINTf16M
16384U, // MVE_VRINTf16N
16384U, // MVE_VRINTf16P
16384U, // MVE_VRINTf16X
16384U, // MVE_VRINTf16Z
16384U, // MVE_VRINTf32A
16384U, // MVE_VRINTf32M
16384U, // MVE_VRINTf32N
16384U, // MVE_VRINTf32P
16384U, // MVE_VRINTf32X
16384U, // MVE_VRINTf32Z
34078720U, // MVE_VRMLALDAVHas32
34078720U, // MVE_VRMLALDAVHau32
34078720U, // MVE_VRMLALDAVHaxs32
33554432U, // MVE_VRMLALDAVHs32
33554432U, // MVE_VRMLALDAVHu32
33554432U, // MVE_VRMLALDAVHxs32
34078720U, // MVE_VRMLSLDAVHas32
34078720U, // MVE_VRMLSLDAVHaxs32
33554432U, // MVE_VRMLSLDAVHs32
33554432U, // MVE_VRMLSLDAVHxs32
0U, // MVE_VRMULHs16
0U, // MVE_VRMULHs32
0U, // MVE_VRMULHs8
0U, // MVE_VRMULHu16
0U, // MVE_VRMULHu32
0U, // MVE_VRMULHu8
0U, // MVE_VRSHL_by_vecs16
0U, // MVE_VRSHL_by_vecs32
0U, // MVE_VRSHL_by_vecs8
0U, // MVE_VRSHL_by_vecu16
0U, // MVE_VRSHL_by_vecu32
0U, // MVE_VRSHL_by_vecu8
17920U, // MVE_VRSHL_qrs16
17920U, // MVE_VRSHL_qrs32
17920U, // MVE_VRSHL_qrs8
17920U, // MVE_VRSHL_qru16
17920U, // MVE_VRSHL_qru32
17920U, // MVE_VRSHL_qru8
8914432U, // MVE_VRSHRNi16bh
8914432U, // MVE_VRSHRNi16th
8914432U, // MVE_VRSHRNi32bh
8914432U, // MVE_VRSHRNi32th
0U, // MVE_VRSHR_imms16
0U, // MVE_VRSHR_imms32
0U, // MVE_VRSHR_imms8
0U, // MVE_VRSHR_immu16
0U, // MVE_VRSHR_immu32
0U, // MVE_VRSHR_immu8
8914432U, // MVE_VSBC
8914432U, // MVE_VSBCI
524800U, // MVE_VSHLC
0U, // MVE_VSHLL_imms16bh
0U, // MVE_VSHLL_imms16th
0U, // MVE_VSHLL_imms8bh
0U, // MVE_VSHLL_imms8th
0U, // MVE_VSHLL_immu16bh
0U, // MVE_VSHLL_immu16th
0U, // MVE_VSHLL_immu8bh
0U, // MVE_VSHLL_immu8th
147456U, // MVE_VSHLL_lws16bh
147456U, // MVE_VSHLL_lws16th
163840U, // MVE_VSHLL_lws8bh
163840U, // MVE_VSHLL_lws8th
147456U, // MVE_VSHLL_lwu16bh
147456U, // MVE_VSHLL_lwu16th
163840U, // MVE_VSHLL_lwu8bh
163840U, // MVE_VSHLL_lwu8th
0U, // MVE_VSHL_by_vecs16
0U, // MVE_VSHL_by_vecs32
0U, // MVE_VSHL_by_vecs8
0U, // MVE_VSHL_by_vecu16
0U, // MVE_VSHL_by_vecu32
0U, // MVE_VSHL_by_vecu8
0U, // MVE_VSHL_immi16
0U, // MVE_VSHL_immi32
0U, // MVE_VSHL_immi8
17920U, // MVE_VSHL_qrs16
17920U, // MVE_VSHL_qrs32
17920U, // MVE_VSHL_qrs8
17920U, // MVE_VSHL_qru16
17920U, // MVE_VSHL_qru32
17920U, // MVE_VSHL_qru8
8914432U, // MVE_VSHRNi16bh
8914432U, // MVE_VSHRNi16th
8914432U, // MVE_VSHRNi32bh
8914432U, // MVE_VSHRNi32th
0U, // MVE_VSHR_imms16
0U, // MVE_VSHR_imms32
0U, // MVE_VSHR_imms8
0U, // MVE_VSHR_immu16
0U, // MVE_VSHR_immu32
0U, // MVE_VSHR_immu8
8914432U, // MVE_VSLIimm16
8914432U, // MVE_VSLIimm32
8914432U, // MVE_VSLIimm8
8914432U, // MVE_VSRIimm16
8914432U, // MVE_VSRIimm32
8914432U, // MVE_VSRIimm8
0U, // MVE_VST20_16
0U, // MVE_VST20_16_wb
0U, // MVE_VST20_32
0U, // MVE_VST20_32_wb
0U, // MVE_VST20_8
0U, // MVE_VST20_8_wb
0U, // MVE_VST21_16
0U, // MVE_VST21_16_wb
0U, // MVE_VST21_32
0U, // MVE_VST21_32_wb
0U, // MVE_VST21_8
0U, // MVE_VST21_8_wb
0U, // MVE_VST40_16
0U, // MVE_VST40_16_wb
0U, // MVE_VST40_32
0U, // MVE_VST40_32_wb
0U, // MVE_VST40_8
0U, // MVE_VST40_8_wb
0U, // MVE_VST41_16
0U, // MVE_VST41_16_wb
0U, // MVE_VST41_32
0U, // MVE_VST41_32_wb
0U, // MVE_VST41_8
0U, // MVE_VST41_8_wb
0U, // MVE_VST42_16
0U, // MVE_VST42_16_wb
0U, // MVE_VST42_32
0U, // MVE_VST42_32_wb
0U, // MVE_VST42_8
0U, // MVE_VST42_8_wb
0U, // MVE_VST43_16
0U, // MVE_VST43_16_wb
0U, // MVE_VST43_32
0U, // MVE_VST43_32_wb
0U, // MVE_VST43_8
0U, // MVE_VST43_8_wb
3840U, // MVE_VSTRB16
100864U, // MVE_VSTRB16_post
3968U, // MVE_VSTRB16_pre
4096U, // MVE_VSTRB16_rq
3840U, // MVE_VSTRB32
100864U, // MVE_VSTRB32_post
3968U, // MVE_VSTRB32_pre
4096U, // MVE_VSTRB32_rq
4096U, // MVE_VSTRB8_rq
3840U, // MVE_VSTRBU8
100864U, // MVE_VSTRBU8_post
4224U, // MVE_VSTRBU8_pre
3840U, // MVE_VSTRD64_qi
3968U, // MVE_VSTRD64_qi_pre
4352U, // MVE_VSTRD64_rq
4096U, // MVE_VSTRD64_rq_u
4480U, // MVE_VSTRH16_rq
4096U, // MVE_VSTRH16_rq_u
3840U, // MVE_VSTRH32
100864U, // MVE_VSTRH32_post
3968U, // MVE_VSTRH32_pre
4480U, // MVE_VSTRH32_rq
4096U, // MVE_VSTRH32_rq_u
3840U, // MVE_VSTRHU16
100864U, // MVE_VSTRHU16_post
4224U, // MVE_VSTRHU16_pre
3840U, // MVE_VSTRW32_qi
3968U, // MVE_VSTRW32_qi_pre
4608U, // MVE_VSTRW32_rq
4096U, // MVE_VSTRW32_rq_u
3840U, // MVE_VSTRWU32
100864U, // MVE_VSTRWU32_post
4224U, // MVE_VSTRWU32_pre
0U, // MVE_VSUB_qr_f16
0U, // MVE_VSUB_qr_f32
0U, // MVE_VSUB_qr_i16
0U, // MVE_VSUB_qr_i32
0U, // MVE_VSUB_qr_i8
0U, // MVE_VSUBf16
0U, // MVE_VSUBf32
0U, // MVE_VSUBi16
0U, // MVE_VSUBi32
0U, // MVE_VSUBi8
17920U, // MVE_WLSTP_16
17920U, // MVE_WLSTP_32
17920U, // MVE_WLSTP_64
17920U, // MVE_WLSTP_8
1664U, // MVNi
16384U, // MVNr
1792U, // MVNsi
1152U, // MVNsr
17920U, // NEON_VMAXNMNDf
17920U, // NEON_VMAXNMNDh
17920U, // NEON_VMAXNMNQf
17920U, // NEON_VMAXNMNQh
17920U, // NEON_VMINNMNDf
17920U, // NEON_VMINNMNDh
17920U, // NEON_VMINNMNQf
17920U, // NEON_VMINNMNQh
1048576U, // ORRri
0U, // ORRrr
1572864U, // ORRrsi
0U, // ORRrsr
167772160U, // PKHBT
201326592U, // PKHTB
0U, // PLDWi12
0U, // PLDWrs
0U, // PLDi12
0U, // PLDrs
0U, // PLIi12
0U, // PLIrs
0U, // QADD
0U, // QADD16
0U, // QADD8
0U, // QASX
0U, // QDADD
0U, // QDSUB
0U, // QSAX
0U, // QSUB
0U, // QSUB16
0U, // QSUB8
16384U, // RBIT
16384U, // REV
16384U, // REV16
16384U, // REVSH
0U, // RFEDA
0U, // RFEDA_UPD
0U, // RFEDB
0U, // RFEDB_UPD
0U, // RFEIA
0U, // RFEIA_UPD
0U, // RFEIB
0U, // RFEIB_UPD
1048576U, // RSBri
0U, // RSBrr
1572864U, // RSBrsi
0U, // RSBrsr
1048576U, // RSCri
0U, // RSCrr
1572864U, // RSCrsi
0U, // RSCrsr
0U, // SADD16
0U, // SADD8
0U, // SASX
0U, // SB
1048576U, // SBCri
0U, // SBCrr
1572864U, // SBCrsi
0U, // SBCrsr
33554432U, // SBFX
0U, // SDIV
0U, // SEL
0U, // SETEND
0U, // SETPAN
16896U, // SHA1C
2U, // SHA1H
16896U, // SHA1M
16896U, // SHA1P
16896U, // SHA1SU0
2U, // SHA1SU1
16896U, // SHA256H
16896U, // SHA256H2
2U, // SHA256SU0
16896U, // SHA256SU1
0U, // SHADD16
0U, // SHADD8
0U, // SHASX
0U, // SHSAX
0U, // SHSUB16
0U, // SHSUB8
2U, // SMC
33554432U, // SMLABB
33554432U, // SMLABT
33554432U, // SMLAD
33554432U, // SMLADX
0U, // SMLAL
33554432U, // SMLALBB
33554432U, // SMLALBT
33554432U, // SMLALD
33554432U, // SMLALDX
33554432U, // SMLALTB
33554432U, // SMLALTT
33554432U, // SMLATB
33554432U, // SMLATT
33554432U, // SMLAWB
33554432U, // SMLAWT
33554432U, // SMLSD
33554432U, // SMLSDX
33554432U, // SMLSLD
33554432U, // SMLSLDX
33554432U, // SMMLA
33554432U, // SMMLAR
33554432U, // SMMLS
33554432U, // SMMLSR
0U, // SMMUL
0U, // SMMULR
0U, // SMUAD
0U, // SMUADX
0U, // SMULBB
0U, // SMULBT
33554432U, // SMULL
0U, // SMULTB
0U, // SMULTT
0U, // SMULWB
0U, // SMULWT
0U, // SMUSD
0U, // SMUSDX
0U, // SRSDA
0U, // SRSDA_UPD
0U, // SRSDB
0U, // SRSDB_UPD
0U, // SRSIA
0U, // SRSIA_UPD
0U, // SRSIB
0U, // SRSIB_UPD
185088U, // SSAT
21248U, // SSAT16
0U, // SSAX
0U, // SSUB16
0U, // SSUB8
0U, // STC2L_OFFSET
2176U, // STC2L_OPTION
2304U, // STC2L_POST
0U, // STC2L_PRE
0U, // STC2_OFFSET
2176U, // STC2_OPTION
2304U, // STC2_POST
0U, // STC2_PRE
2446U, // STCL_OFFSET
2624014U, // STCL_OPTION
3148302U, // STCL_POST
2702U, // STCL_PRE
2446U, // STC_OFFSET
2624014U, // STC_OPTION
3148302U, // STC_POST
2702U, // STC_PRE
128U, // STL
128U, // STLB
9961472U, // STLEX
9961472U, // STLEXB
4992U, // STLEXD
9961472U, // STLEXH
128U, // STLH
18432U, // STMDA
652U, // STMDA_UPD
18432U, // STMDB
652U, // STMDB_UPD
18432U, // STMIA
652U, // STMIA_UPD
18432U, // STMIB
652U, // STMIB_UPD
3672576U, // STRBT_POST_IMM
3672576U, // STRBT_POST_REG
3672576U, // STRB_POST_IMM
3672576U, // STRB_POST_REG
2816U, // STRB_PRE_IMM
2944U, // STRB_PRE_REG
3072U, // STRBi12
3200U, // STRBrs
4194304U, // STRD
38274560U, // STRD_POST
5244416U, // STRD_PRE
9961472U, // STREX
9961472U, // STREXB
4992U, // STREXD
9961472U, // STREXH
3328U, // STRH
5769728U, // STRHTi
6294016U, // STRHTr
6818304U, // STRH_POST
3456U, // STRH_PRE
3672576U, // STRT_POST_IMM
3672576U, // STRT_POST_REG
3672576U, // STR_POST_IMM
3672576U, // STR_POST_REG
2816U, // STR_PRE_IMM
2944U, // STR_PRE_REG
3072U, // STRi12
3200U, // STRrs
1048576U, // SUBri
0U, // SUBrr
1572864U, // SUBrsi
0U, // SUBrsr
2U, // SVC
9961472U, // SWP
9961472U, // SWPB
234881024U, // SXTAB
234881024U, // SXTAB16
234881024U, // SXTAH
196608U, // SXTB
196608U, // SXTB16
196608U, // SXTH
1664U, // TEQri
16384U, // TEQrr
1792U, // TEQrsi
1152U, // TEQrsr
0U, // TRAP
0U, // TRAPNaCl
0U, // TSB
1664U, // TSTri
16384U, // TSTrr
1792U, // TSTrsi
1152U, // TSTrsr
0U, // UADD16
0U, // UADD8
0U, // UASX
33554432U, // UBFX
0U, // UDF
0U, // UDIV
0U, // UHADD16
0U, // UHADD8
0U, // UHASX
0U, // UHSAX
0U, // UHSUB16
0U, // UHSUB8
33554432U, // UMAAL
0U, // UMLAL
33554432U, // UMULL
0U, // UQADD16
0U, // UQADD8
0U, // UQASX
0U, // UQSAX
0U, // UQSUB16
0U, // UQSUB8
0U, // USAD8
33554432U, // USADA8
268435456U, // USAT
0U, // USAT16
0U, // USAX
0U, // USUB16
0U, // USUB8
234881024U, // UXTAB
234881024U, // UXTAB16
234881024U, // UXTAH
196608U, // UXTB
196608U, // UXTB16
196608U, // UXTH
8914432U, // VABALsv2i64
8914432U, // VABALsv4i32
8914432U, // VABALsv8i16
8914432U, // VABALuv2i64
8914432U, // VABALuv4i32
8914432U, // VABALuv8i16
8914432U, // VABAsv16i8
8914432U, // VABAsv2i32
8914432U, // VABAsv4i16
8914432U, // VABAsv4i32
8914432U, // VABAsv8i16
8914432U, // VABAsv8i8
8914432U, // VABAuv16i8
8914432U, // VABAuv2i32
8914432U, // VABAuv4i16
8914432U, // VABAuv4i32
8914432U, // VABAuv8i16
8914432U, // VABAuv8i8
0U, // VABDLsv2i64
0U, // VABDLsv4i32
0U, // VABDLsv8i16
0U, // VABDLuv2i64
0U, // VABDLuv4i32
0U, // VABDLuv8i16
0U, // VABDfd
0U, // VABDfq
0U, // VABDhd
0U, // VABDhq
0U, // VABDsv16i8
0U, // VABDsv2i32
0U, // VABDsv4i16
0U, // VABDsv4i32
0U, // VABDsv8i16
0U, // VABDsv8i8
0U, // VABDuv16i8
0U, // VABDuv2i32
0U, // VABDuv4i16
0U, // VABDuv4i32
0U, // VABDuv8i16
0U, // VABDuv8i8
648U, // VABSD
16384U, // VABSH
16384U, // VABSS
16384U, // VABSfd
16384U, // VABSfq
16384U, // VABShd
16384U, // VABShq
16384U, // VABSv16i8
16384U, // VABSv2i32
16384U, // VABSv4i16
16384U, // VABSv4i32
16384U, // VABSv8i16
16384U, // VABSv8i8
0U, // VACGEfd
0U, // VACGEfq
0U, // VACGEhd
0U, // VACGEhq
0U, // VACGTfd
0U, // VACGTfq
0U, // VACGThd
0U, // VACGThq
10568584U, // VADDD
0U, // VADDH
17920U, // VADDHNv2i32
0U, // VADDHNv4i16
0U, // VADDHNv8i8
0U, // VADDLsv2i64
0U, // VADDLsv4i32
0U, // VADDLsv8i16
0U, // VADDLuv2i64
0U, // VADDLuv4i32
0U, // VADDLuv8i16
0U, // VADDS
0U, // VADDWsv2i64
0U, // VADDWsv4i32
0U, // VADDWsv8i16
0U, // VADDWuv2i64
0U, // VADDWuv4i32
0U, // VADDWuv8i16
0U, // VADDfd
0U, // VADDfq
0U, // VADDhd
0U, // VADDhq
0U, // VADDv16i8
17920U, // VADDv1i64
0U, // VADDv2i32
17920U, // VADDv2i64
0U, // VADDv4i16
0U, // VADDv4i32
0U, // VADDv8i16
0U, // VADDv8i8
0U, // VANDd
0U, // VANDq
0U, // VBICd
4736U, // VBICiv2i32
4736U, // VBICiv4i16
4736U, // VBICiv4i32
4736U, // VBICiv8i16
0U, // VBICq
8914432U, // VBIFd
8914432U, // VBIFq
8914432U, // VBITd
8914432U, // VBITq
8914432U, // VBSLd
8914432U, // VBSLq
11011584U, // VCADDv2f32
11011584U, // VCADDv4f16
11011584U, // VCADDv4f32
11011584U, // VCADDv8f16
0U, // VCEQfd
0U, // VCEQfq
0U, // VCEQhd
0U, // VCEQhq
0U, // VCEQv16i8
0U, // VCEQv2i32
0U, // VCEQv4i16
0U, // VCEQv4i32
0U, // VCEQv8i16
0U, // VCEQv8i8
212992U, // VCEQzv16i8
212992U, // VCEQzv2f32
212992U, // VCEQzv2i32
212992U, // VCEQzv4f16
212992U, // VCEQzv4f32
212992U, // VCEQzv4i16
212992U, // VCEQzv4i32
212992U, // VCEQzv8f16
212992U, // VCEQzv8i16
212992U, // VCEQzv8i8
0U, // VCGEfd
0U, // VCGEfq
0U, // VCGEhd
0U, // VCGEhq
0U, // VCGEsv16i8
0U, // VCGEsv2i32
0U, // VCGEsv4i16
0U, // VCGEsv4i32
0U, // VCGEsv8i16
0U, // VCGEsv8i8
0U, // VCGEuv16i8
0U, // VCGEuv2i32
0U, // VCGEuv4i16
0U, // VCGEuv4i32
0U, // VCGEuv8i16
0U, // VCGEuv8i8
212992U, // VCGEzv16i8
212992U, // VCGEzv2f32
212992U, // VCGEzv2i32
212992U, // VCGEzv4f16
212992U, // VCGEzv4f32
212992U, // VCGEzv4i16
212992U, // VCGEzv4i32
212992U, // VCGEzv8f16
212992U, // VCGEzv8i16
212992U, // VCGEzv8i8
0U, // VCGTfd
0U, // VCGTfq
0U, // VCGThd
0U, // VCGThq
0U, // VCGTsv16i8
0U, // VCGTsv2i32
0U, // VCGTsv4i16
0U, // VCGTsv4i32
0U, // VCGTsv8i16
0U, // VCGTsv8i8
0U, // VCGTuv16i8
0U, // VCGTuv2i32
0U, // VCGTuv4i16
0U, // VCGTuv4i32
0U, // VCGTuv8i16
0U, // VCGTuv8i8
212992U, // VCGTzv16i8
212992U, // VCGTzv2f32
212992U, // VCGTzv2i32
212992U, // VCGTzv4f16
212992U, // VCGTzv4f32
212992U, // VCGTzv4i16
212992U, // VCGTzv4i32
212992U, // VCGTzv8f16
212992U, // VCGTzv8i16
212992U, // VCGTzv8i8
212992U, // VCLEzv16i8
212992U, // VCLEzv2f32
212992U, // VCLEzv2i32
212992U, // VCLEzv4f16
212992U, // VCLEzv4f32
212992U, // VCLEzv4i16
212992U, // VCLEzv4i32
212992U, // VCLEzv8f16
212992U, // VCLEzv8i16
212992U, // VCLEzv8i8
16384U, // VCLSv16i8
16384U, // VCLSv2i32
16384U, // VCLSv4i16
16384U, // VCLSv4i32
16384U, // VCLSv8i16
16384U, // VCLSv8i8
212992U, // VCLTzv16i8
212992U, // VCLTzv2f32
212992U, // VCLTzv2i32
212992U, // VCLTzv4f16
212992U, // VCLTzv4f32
212992U, // VCLTzv4i16
212992U, // VCLTzv4i32
212992U, // VCLTzv8f16
212992U, // VCLTzv8i16
212992U, // VCLTzv8i8
16384U, // VCLZv16i8
16384U, // VCLZv2i32
16384U, // VCLZv4i16
16384U, // VCLZv4i32
16384U, // VCLZv8i16
16384U, // VCLZv8i8
11534848U, // VCMLAv2f32
229888U, // VCMLAv2f32_indexed
11534848U, // VCMLAv4f16
229888U, // VCMLAv4f16_indexed
11534848U, // VCMLAv4f32
229888U, // VCMLAv4f32_indexed
11534848U, // VCMLAv8f16
229888U, // VCMLAv8f16_indexed
648U, // VCMPD
648U, // VCMPED
16384U, // VCMPEH
16384U, // VCMPES
0U, // VCMPEZD
28U, // VCMPEZH
28U, // VCMPEZS
16384U, // VCMPH
16384U, // VCMPS
0U, // VCMPZD
28U, // VCMPZH
28U, // VCMPZS
16384U, // VCNTd
16384U, // VCNTq
2U, // VCVTANSDf
2U, // VCVTANSDh
2U, // VCVTANSQf
2U, // VCVTANSQh
2U, // VCVTANUDf
2U, // VCVTANUDh
2U, // VCVTANUQf
2U, // VCVTANUQh
2U, // VCVTASD
2U, // VCVTASH
2U, // VCVTASS
2U, // VCVTAUD
2U, // VCVTAUH
2U, // VCVTAUS
0U, // VCVTBDH
0U, // VCVTBHD
2U, // VCVTBHS
2U, // VCVTBSH
0U, // VCVTDS
2U, // VCVTMNSDf
2U, // VCVTMNSDh
2U, // VCVTMNSQf
2U, // VCVTMNSQh
2U, // VCVTMNUDf
2U, // VCVTMNUDh
2U, // VCVTMNUQf
2U, // VCVTMNUQh
2U, // VCVTMSD
2U, // VCVTMSH
2U, // VCVTMSS
2U, // VCVTMUD
2U, // VCVTMUH
2U, // VCVTMUS
2U, // VCVTNNSDf
2U, // VCVTNNSDh
2U, // VCVTNNSQf
2U, // VCVTNNSQh
2U, // VCVTNNUDf
2U, // VCVTNNUDh
2U, // VCVTNNUQf
2U, // VCVTNNUQh
2U, // VCVTNSD
2U, // VCVTNSH
2U, // VCVTNSS
2U, // VCVTNUD
2U, // VCVTNUH
2U, // VCVTNUS
2U, // VCVTPNSDf
2U, // VCVTPNSDh
2U, // VCVTPNSQf
2U, // VCVTPNSQh
2U, // VCVTPNUDf
2U, // VCVTPNUDh
2U, // VCVTPNUQf
2U, // VCVTPNUQh
2U, // VCVTPSD
2U, // VCVTPSH
2U, // VCVTPSS
2U, // VCVTPUD
2U, // VCVTPUH
2U, // VCVTPUS
0U, // VCVTSD
0U, // VCVTTDH
0U, // VCVTTHD
2U, // VCVTTHS
2U, // VCVTTSH
2U, // VCVTf2h
0U, // VCVTf2sd
0U, // VCVTf2sq
0U, // VCVTf2ud
0U, // VCVTf2uq
662U, // VCVTf2xsd
662U, // VCVTf2xsq
662U, // VCVTf2xud
662U, // VCVTf2xuq
2U, // VCVTh2f
0U, // VCVTh2sd
0U, // VCVTh2sq
0U, // VCVTh2ud
0U, // VCVTh2uq
662U, // VCVTh2xsd
662U, // VCVTh2xsq
662U, // VCVTh2xud
662U, // VCVTh2xuq
0U, // VCVTs2fd
0U, // VCVTs2fq
0U, // VCVTs2hd
0U, // VCVTs2hq
0U, // VCVTu2fd
0U, // VCVTu2fq
0U, // VCVTu2hd
0U, // VCVTu2hq
662U, // VCVTxs2fd
662U, // VCVTxs2fq
662U, // VCVTxs2hd
662U, // VCVTxs2hq
662U, // VCVTxu2fd
662U, // VCVTxu2fq
662U, // VCVTxu2hd
662U, // VCVTxu2hq
10568584U, // VDIVD
0U, // VDIVH
0U, // VDIVS
16384U, // VDUP16d
16384U, // VDUP16q
16384U, // VDUP32d
16384U, // VDUP32q
16384U, // VDUP8d
16384U, // VDUP8q
114688U, // VDUPLN16d
114688U, // VDUPLN16q
114688U, // VDUPLN32d
114688U, // VDUPLN32q
114688U, // VDUPLN8d
114688U, // VDUPLN8q
0U, // VEORd
0U, // VEORq
33554432U, // VEXTd16
33554432U, // VEXTd32
33554432U, // VEXTd8
33554432U, // VEXTq16
33554432U, // VEXTq32
33554432U, // VEXTq64
33554432U, // VEXTq8
50070U, // VFMAD
8914432U, // VFMAH
17920U, // VFMALD
247296U, // VFMALDI
17920U, // VFMALQ
247296U, // VFMALQI
8914432U, // VFMAS
8914432U, // VFMAfd
8914432U, // VFMAfq
8914432U, // VFMAhd
8914432U, // VFMAhq
50070U, // VFMSD
8914432U, // VFMSH
17920U, // VFMSLD
247296U, // VFMSLDI
17920U, // VFMSLQ
247296U, // VFMSLQI
8914432U, // VFMSS
8914432U, // VFMSfd
8914432U, // VFMSfq
8914432U, // VFMShd
8914432U, // VFMShq
50070U, // VFNMAD
8914432U, // VFNMAH
8914432U, // VFNMAS
50070U, // VFNMSD
8914432U, // VFNMSH
8914432U, // VFNMSS
17920U, // VFP_VMAXNMD
17920U, // VFP_VMAXNMH
17920U, // VFP_VMAXNMS
17920U, // VFP_VMINNMD
17920U, // VFP_VMINNMH
17920U, // VFP_VMINNMS
114688U, // VGETLNi32
114688U, // VGETLNs16
114688U, // VGETLNs8
114688U, // VGETLNu16
114688U, // VGETLNu8
0U, // VHADDsv16i8
0U, // VHADDsv2i32
0U, // VHADDsv4i16
0U, // VHADDsv4i32
0U, // VHADDsv8i16
0U, // VHADDsv8i8
0U, // VHADDuv16i8
0U, // VHADDuv2i32
0U, // VHADDuv4i16
0U, // VHADDuv4i32
0U, // VHADDuv8i16
0U, // VHADDuv8i8
0U, // VHSUBsv16i8
0U, // VHSUBsv2i32
0U, // VHSUBsv4i16
0U, // VHSUBsv4i32
0U, // VHSUBsv8i16
0U, // VHSUBsv8i8
0U, // VHSUBuv16i8
0U, // VHSUBuv2i32
0U, // VHSUBuv4i16
0U, // VHSUBuv4i32
0U, // VHSUBuv8i16
0U, // VHSUBuv8i8
2U, // VINSH
0U, // VJCVT
646U, // VLD1DUPd16
798U, // VLD1DUPd16wb_fixed
263070U, // VLD1DUPd16wb_register
646U, // VLD1DUPd32
798U, // VLD1DUPd32wb_fixed
263070U, // VLD1DUPd32wb_register
646U, // VLD1DUPd8
798U, // VLD1DUPd8wb_fixed
263070U, // VLD1DUPd8wb_register
646U, // VLD1DUPq16
798U, // VLD1DUPq16wb_fixed
263070U, // VLD1DUPq16wb_register
646U, // VLD1DUPq32
798U, // VLD1DUPq32wb_fixed
263070U, // VLD1DUPq32wb_register
646U, // VLD1DUPq8
798U, // VLD1DUPq8wb_fixed
263070U, // VLD1DUPq8wb_register
12342304U, // VLD1LNd16
12866720U, // VLD1LNd16_UPD
12342304U, // VLD1LNd32
12866720U, // VLD1LNd32_UPD
12342304U, // VLD1LNd8
12866720U, // VLD1LNd8_UPD
0U, // VLD1LNq16Pseudo
0U, // VLD1LNq16Pseudo_UPD
0U, // VLD1LNq32Pseudo
0U, // VLD1LNq32Pseudo_UPD
0U, // VLD1LNq8Pseudo
0U, // VLD1LNq8Pseudo_UPD
646U, // VLD1d16
646U, // VLD1d16Q
0U, // VLD1d16QPseudo
798U, // VLD1d16Qwb_fixed
263070U, // VLD1d16Qwb_register
646U, // VLD1d16T
0U, // VLD1d16TPseudo
798U, // VLD1d16Twb_fixed
263070U, // VLD1d16Twb_register
798U, // VLD1d16wb_fixed
263070U, // VLD1d16wb_register
646U, // VLD1d32
646U, // VLD1d32Q
0U, // VLD1d32QPseudo
798U, // VLD1d32Qwb_fixed
263070U, // VLD1d32Qwb_register
646U, // VLD1d32T
0U, // VLD1d32TPseudo
798U, // VLD1d32Twb_fixed
263070U, // VLD1d32Twb_register
798U, // VLD1d32wb_fixed
263070U, // VLD1d32wb_register
646U, // VLD1d64
646U, // VLD1d64Q
0U, // VLD1d64QPseudo
0U, // VLD1d64QPseudoWB_fixed
0U, // VLD1d64QPseudoWB_register
798U, // VLD1d64Qwb_fixed
263070U, // VLD1d64Qwb_register
646U, // VLD1d64T
0U, // VLD1d64TPseudo
0U, // VLD1d64TPseudoWB_fixed
0U, // VLD1d64TPseudoWB_register
798U, // VLD1d64Twb_fixed
263070U, // VLD1d64Twb_register
798U, // VLD1d64wb_fixed
263070U, // VLD1d64wb_register
646U, // VLD1d8
646U, // VLD1d8Q
0U, // VLD1d8QPseudo
798U, // VLD1d8Qwb_fixed
263070U, // VLD1d8Qwb_register
646U, // VLD1d8T
0U, // VLD1d8TPseudo
798U, // VLD1d8Twb_fixed
263070U, // VLD1d8Twb_register
798U, // VLD1d8wb_fixed
263070U, // VLD1d8wb_register
646U, // VLD1q16
0U, // VLD1q16HighQPseudo
0U, // VLD1q16HighTPseudo
0U, // VLD1q16LowQPseudo_UPD
0U, // VLD1q16LowTPseudo_UPD
798U, // VLD1q16wb_fixed
263070U, // VLD1q16wb_register
646U, // VLD1q32
0U, // VLD1q32HighQPseudo
0U, // VLD1q32HighTPseudo
0U, // VLD1q32LowQPseudo_UPD
0U, // VLD1q32LowTPseudo_UPD
798U, // VLD1q32wb_fixed
263070U, // VLD1q32wb_register
646U, // VLD1q64
0U, // VLD1q64HighQPseudo
0U, // VLD1q64HighTPseudo
0U, // VLD1q64LowQPseudo_UPD
0U, // VLD1q64LowTPseudo_UPD
798U, // VLD1q64wb_fixed
263070U, // VLD1q64wb_register
646U, // VLD1q8
0U, // VLD1q8HighQPseudo
0U, // VLD1q8HighTPseudo
0U, // VLD1q8LowQPseudo_UPD
0U, // VLD1q8LowTPseudo_UPD
798U, // VLD1q8wb_fixed
263070U, // VLD1q8wb_register
646U, // VLD2DUPd16
798U, // VLD2DUPd16wb_fixed
263070U, // VLD2DUPd16wb_register
646U, // VLD2DUPd16x2
798U, // VLD2DUPd16x2wb_fixed
263070U, // VLD2DUPd16x2wb_register
646U, // VLD2DUPd32
798U, // VLD2DUPd32wb_fixed
263070U, // VLD2DUPd32wb_register
646U, // VLD2DUPd32x2
798U, // VLD2DUPd32x2wb_fixed
263070U, // VLD2DUPd32x2wb_register
646U, // VLD2DUPd8
798U, // VLD2DUPd8wb_fixed
263070U, // VLD2DUPd8wb_register
646U, // VLD2DUPd8x2
798U, // VLD2DUPd8x2wb_fixed
263070U, // VLD2DUPd8x2wb_register
0U, // VLD2DUPq16EvenPseudo
0U, // VLD2DUPq16OddPseudo
0U, // VLD2DUPq32EvenPseudo
0U, // VLD2DUPq32OddPseudo
0U, // VLD2DUPq8EvenPseudo
0U, // VLD2DUPq8OddPseudo
13407392U, // VLD2LNd16
0U, // VLD2LNd16Pseudo
0U, // VLD2LNd16Pseudo_UPD
315757856U, // VLD2LNd16_UPD
13407392U, // VLD2LNd32
0U, // VLD2LNd32Pseudo
0U, // VLD2LNd32Pseudo_UPD
315757856U, // VLD2LNd32_UPD
13407392U, // VLD2LNd8
0U, // VLD2LNd8Pseudo
0U, // VLD2LNd8Pseudo_UPD
315757856U, // VLD2LNd8_UPD
13407392U, // VLD2LNq16
0U, // VLD2LNq16Pseudo
0U, // VLD2LNq16Pseudo_UPD
315757856U, // VLD2LNq16_UPD
13407392U, // VLD2LNq32
0U, // VLD2LNq32Pseudo
0U, // VLD2LNq32Pseudo_UPD
315757856U, // VLD2LNq32_UPD
646U, // VLD2b16
798U, // VLD2b16wb_fixed
263070U, // VLD2b16wb_register
646U, // VLD2b32
798U, // VLD2b32wb_fixed
263070U, // VLD2b32wb_register
646U, // VLD2b8
798U, // VLD2b8wb_fixed
263070U, // VLD2b8wb_register
646U, // VLD2d16
798U, // VLD2d16wb_fixed
263070U, // VLD2d16wb_register
646U, // VLD2d32
798U, // VLD2d32wb_fixed
263070U, // VLD2d32wb_register
646U, // VLD2d8
798U, // VLD2d8wb_fixed
263070U, // VLD2d8wb_register
646U, // VLD2q16
0U, // VLD2q16Pseudo
0U, // VLD2q16PseudoWB_fixed
0U, // VLD2q16PseudoWB_register
798U, // VLD2q16wb_fixed
263070U, // VLD2q16wb_register
646U, // VLD2q32
0U, // VLD2q32Pseudo
0U, // VLD2q32PseudoWB_fixed
0U, // VLD2q32PseudoWB_register
798U, // VLD2q32wb_fixed
263070U, // VLD2q32wb_register
646U, // VLD2q8
0U, // VLD2q8Pseudo
0U, // VLD2q8PseudoWB_fixed
0U, // VLD2q8PseudoWB_register
798U, // VLD2q8wb_fixed
263070U, // VLD2q8wb_register
316834U, // VLD3DUPd16
0U, // VLD3DUPd16Pseudo
0U, // VLD3DUPd16Pseudo_UPD
14488994U, // VLD3DUPd16_UPD
316834U, // VLD3DUPd32
0U, // VLD3DUPd32Pseudo
0U, // VLD3DUPd32Pseudo_UPD
14488994U, // VLD3DUPd32_UPD
316834U, // VLD3DUPd8
0U, // VLD3DUPd8Pseudo
0U, // VLD3DUPd8Pseudo_UPD
14488994U, // VLD3DUPd8_UPD
316834U, // VLD3DUPq16
0U, // VLD3DUPq16EvenPseudo
0U, // VLD3DUPq16OddPseudo
14488994U, // VLD3DUPq16_UPD
316834U, // VLD3DUPq32
0U, // VLD3DUPq32EvenPseudo
0U, // VLD3DUPq32OddPseudo
14488994U, // VLD3DUPq32_UPD
316834U, // VLD3DUPq8
0U, // VLD3DUPq8EvenPseudo
0U, // VLD3DUPq8OddPseudo
14488994U, // VLD3DUPq8_UPD
349312288U, // VLD3LNd16
0U, // VLD3LNd16Pseudo
0U, // VLD3LNd16Pseudo_UPD
14964256U, // VLD3LNd16_UPD
349312288U, // VLD3LNd32
0U, // VLD3LNd32Pseudo
0U, // VLD3LNd32Pseudo_UPD
14964256U, // VLD3LNd32_UPD
349312288U, // VLD3LNd8
0U, // VLD3LNd8Pseudo
0U, // VLD3LNd8Pseudo_UPD
14964256U, // VLD3LNd8_UPD
349312288U, // VLD3LNq16
0U, // VLD3LNq16Pseudo
0U, // VLD3LNq16Pseudo_UPD
14964256U, // VLD3LNq16_UPD
349312288U, // VLD3LNq32
0U, // VLD3LNq32Pseudo
0U, // VLD3LNq32Pseudo_UPD
14964256U, // VLD3LNq32_UPD
369098752U, // VLD3d16
0U, // VLD3d16Pseudo
0U, // VLD3d16Pseudo_UPD
369098752U, // VLD3d16_UPD
369098752U, // VLD3d32
0U, // VLD3d32Pseudo
0U, // VLD3d32Pseudo_UPD
369098752U, // VLD3d32_UPD
369098752U, // VLD3d8
0U, // VLD3d8Pseudo
0U, // VLD3d8Pseudo_UPD
369098752U, // VLD3d8_UPD
369098752U, // VLD3q16
0U, // VLD3q16Pseudo_UPD
369098752U, // VLD3q16_UPD
0U, // VLD3q16oddPseudo
0U, // VLD3q16oddPseudo_UPD
369098752U, // VLD3q32
0U, // VLD3q32Pseudo_UPD
369098752U, // VLD3q32_UPD
0U, // VLD3q32oddPseudo
0U, // VLD3q32oddPseudo_UPD
369098752U, // VLD3q8
0U, // VLD3q8Pseudo_UPD
369098752U, // VLD3q8_UPD
0U, // VLD3q8oddPseudo
0U, // VLD3q8oddPseudo_UPD
10819234U, // VLD4DUPd16
0U, // VLD4DUPd16Pseudo
0U, // VLD4DUPd16Pseudo_UPD
349858U, // VLD4DUPd16_UPD
10819234U, // VLD4DUPd32
0U, // VLD4DUPd32Pseudo
0U, // VLD4DUPd32Pseudo_UPD
349858U, // VLD4DUPd32_UPD
10819234U, // VLD4DUPd8
0U, // VLD4DUPd8Pseudo
0U, // VLD4DUPd8Pseudo_UPD
349858U, // VLD4DUPd8_UPD
10819234U, // VLD4DUPq16
0U, // VLD4DUPq16EvenPseudo
0U, // VLD4DUPq16OddPseudo
349858U, // VLD4DUPq16_UPD
10819234U, // VLD4DUPq32
0U, // VLD4DUPq32EvenPseudo
0U, // VLD4DUPq32OddPseudo
349858U, // VLD4DUPq32_UPD
10819234U, // VLD4DUPq8
0U, // VLD4DUPq8EvenPseudo
0U, // VLD4DUPq8OddPseudo
349858U, // VLD4DUPq8_UPD
411866656U, // VLD4LNd16
0U, // VLD4LNd16Pseudo
0U, // VLD4LNd16Pseudo_UPD
5920U, // VLD4LNd16_UPD
411866656U, // VLD4LNd32
0U, // VLD4LNd32Pseudo
0U, // VLD4LNd32Pseudo_UPD
5920U, // VLD4LNd32_UPD
411866656U, // VLD4LNd8
0U, // VLD4LNd8Pseudo
0U, // VLD4LNd8Pseudo_UPD
5920U, // VLD4LNd8_UPD
411866656U, // VLD4LNq16
0U, // VLD4LNq16Pseudo
0U, // VLD4LNq16Pseudo_UPD
5920U, // VLD4LNq16_UPD
411866656U, // VLD4LNq32
0U, // VLD4LNq32Pseudo
0U, // VLD4LNq32Pseudo_UPD
5920U, // VLD4LNq32_UPD
33554432U, // VLD4d16
0U, // VLD4d16Pseudo
0U, // VLD4d16Pseudo_UPD
33554432U, // VLD4d16_UPD
33554432U, // VLD4d32
0U, // VLD4d32Pseudo
0U, // VLD4d32Pseudo_UPD
33554432U, // VLD4d32_UPD
33554432U, // VLD4d8
0U, // VLD4d8Pseudo
0U, // VLD4d8Pseudo_UPD
33554432U, // VLD4d8_UPD
33554432U, // VLD4q16
0U, // VLD4q16Pseudo_UPD
33554432U, // VLD4q16_UPD
0U, // VLD4q16oddPseudo
0U, // VLD4q16oddPseudo_UPD
33554432U, // VLD4q32
0U, // VLD4q32Pseudo_UPD
33554432U, // VLD4q32_UPD
0U, // VLD4q32oddPseudo
0U, // VLD4q32oddPseudo_UPD
33554432U, // VLD4q8
0U, // VLD4q8Pseudo_UPD
33554432U, // VLD4q8_UPD
0U, // VLD4q8oddPseudo
0U, // VLD4q8oddPseudo_UPD
652U, // VLDMDDB_UPD
18432U, // VLDMDIA
652U, // VLDMDIA_UPD
0U, // VLDMQIA
652U, // VLDMSDB_UPD
18432U, // VLDMSIA
652U, // VLDMSIA_UPD
6016U, // VLDRD
6144U, // VLDRH
6016U, // VLDRS
0U, // VLDR_FPCXTNS_off
0U, // VLDR_FPCXTNS_post
0U, // VLDR_FPCXTNS_pre
0U, // VLDR_FPCXTS_off
0U, // VLDR_FPCXTS_post
0U, // VLDR_FPCXTS_pre
0U, // VLDR_FPSCR_NZCVQC_off
0U, // VLDR_FPSCR_NZCVQC_post
0U, // VLDR_FPSCR_NZCVQC_pre
0U, // VLDR_FPSCR_off
0U, // VLDR_FPSCR_post
0U, // VLDR_FPSCR_pre
0U, // VLDR_P0_off
36U, // VLDR_P0_post
0U, // VLDR_P0_pre
0U, // VLDR_VPR_off
0U, // VLDR_VPR_post
0U, // VLDR_VPR_pre
2U, // VLLDM
2U, // VLSTM
0U, // VMAXfd
0U, // VMAXfq
0U, // VMAXhd
0U, // VMAXhq
0U, // VMAXsv16i8
0U, // VMAXsv2i32
0U, // VMAXsv4i16
0U, // VMAXsv4i32
0U, // VMAXsv8i16
0U, // VMAXsv8i8
0U, // VMAXuv16i8
0U, // VMAXuv2i32
0U, // VMAXuv4i16
0U, // VMAXuv4i32
0U, // VMAXuv8i16
0U, // VMAXuv8i8
0U, // VMINfd
0U, // VMINfq
0U, // VMINhd
0U, // VMINhq
0U, // VMINsv16i8
0U, // VMINsv2i32
0U, // VMINsv4i16
0U, // VMINsv4i32
0U, // VMINsv8i16
0U, // VMINsv8i8
0U, // VMINuv16i8
0U, // VMINuv2i32
0U, // VMINuv4i16
0U, // VMINuv4i32
0U, // VMINuv8i16
0U, // VMINuv8i8
50070U, // VMLAD
8914432U, // VMLAH
445122048U, // VMLALslsv2i32
445122048U, // VMLALslsv4i16
445122048U, // VMLALsluv2i32
445122048U, // VMLALsluv4i16
8914432U, // VMLALsv2i64
8914432U, // VMLALsv4i32
8914432U, // VMLALsv8i16
8914432U, // VMLALuv2i64
8914432U, // VMLALuv4i32
8914432U, // VMLALuv8i16
8914432U, // VMLAS
8914432U, // VMLAfd
8914432U, // VMLAfq
8914432U, // VMLAhd
8914432U, // VMLAhq
445122048U, // VMLAslfd
445122048U, // VMLAslfq
445122048U, // VMLAslhd
445122048U, // VMLAslhq
445122048U, // VMLAslv2i32
445122048U, // VMLAslv4i16
445122048U, // VMLAslv4i32
445122048U, // VMLAslv8i16
8914432U, // VMLAv16i8
8914432U, // VMLAv2i32
8914432U, // VMLAv4i16
8914432U, // VMLAv4i32
8914432U, // VMLAv8i16
8914432U, // VMLAv8i8
50070U, // VMLSD
8914432U, // VMLSH
445122048U, // VMLSLslsv2i32
445122048U, // VMLSLslsv4i16
445122048U, // VMLSLsluv2i32
445122048U, // VMLSLsluv4i16
8914432U, // VMLSLsv2i64
8914432U, // VMLSLsv4i32
8914432U, // VMLSLsv8i16
8914432U, // VMLSLuv2i64
8914432U, // VMLSLuv4i32
8914432U, // VMLSLuv8i16
8914432U, // VMLSS
8914432U, // VMLSfd
8914432U, // VMLSfq
8914432U, // VMLShd
8914432U, // VMLShq
445122048U, // VMLSslfd
445122048U, // VMLSslfq
445122048U, // VMLSslhd
445122048U, // VMLSslhq
445122048U, // VMLSslv2i32
445122048U, // VMLSslv4i16
445122048U, // VMLSslv4i32
445122048U, // VMLSslv8i16
8914432U, // VMLSv16i8
8914432U, // VMLSv2i32
8914432U, // VMLSv4i16
8914432U, // VMLSv4i32
8914432U, // VMLSv8i16
8914432U, // VMLSv8i8
648U, // VMOVD
0U, // VMOVDRR
2U, // VMOVH
16384U, // VMOVHR
16384U, // VMOVLsv2i64
16384U, // VMOVLsv4i32
16384U, // VMOVLsv8i16
16384U, // VMOVLuv2i64
16384U, // VMOVLuv4i32
16384U, // VMOVLuv8i16
2U, // VMOVNv2i32
16384U, // VMOVNv4i16
16384U, // VMOVNv8i8
16384U, // VMOVRH
0U, // VMOVRRD
33554432U, // VMOVRRS
16384U, // VMOVRS
16384U, // VMOVS
16384U, // VMOVSR
33554432U, // VMOVSRR
4736U, // VMOVv16i8
0U, // VMOVv1i64
1920U, // VMOVv2f32
4736U, // VMOVv2i32
0U, // VMOVv2i64
1920U, // VMOVv4f32
4736U, // VMOVv4i16
4736U, // VMOVv4i32
4736U, // VMOVv8i16
4736U, // VMOVv8i8
38U, // VMRS
40U, // VMRS_FPCXTNS
42U, // VMRS_FPCXTS
44U, // VMRS_FPEXC
46U, // VMRS_FPINST
48U, // VMRS_FPINST2
50U, // VMRS_FPSCR_NZCVQC
52U, // VMRS_FPSID
54U, // VMRS_MVFR0
56U, // VMRS_MVFR1
58U, // VMRS_MVFR2
60U, // VMRS_P0
62U, // VMRS_VPR
2U, // VMSR
2U, // VMSR_FPCXTNS
2U, // VMSR_FPCXTS
0U, // VMSR_FPEXC
0U, // VMSR_FPINST
0U, // VMSR_FPINST2
2U, // VMSR_FPSCR_NZCVQC
0U, // VMSR_FPSID
2U, // VMSR_P0
2U, // VMSR_VPR
10568584U, // VMULD
0U, // VMULH
17920U, // VMULLp64
0U, // VMULLp8
134217728U, // VMULLslsv2i32
134217728U, // VMULLslsv4i16
134217728U, // VMULLsluv2i32
134217728U, // VMULLsluv4i16
0U, // VMULLsv2i64
0U, // VMULLsv4i32
0U, // VMULLsv8i16
0U, // VMULLuv2i64
0U, // VMULLuv4i32
0U, // VMULLuv8i16
0U, // VMULS
0U, // VMULfd
0U, // VMULfq
0U, // VMULhd
0U, // VMULhq
0U, // VMULpd
0U, // VMULpq
134217728U, // VMULslfd
134217728U, // VMULslfq
134217728U, // VMULslhd
134217728U, // VMULslhq
134217728U, // VMULslv2i32
134217728U, // VMULslv4i16
134217728U, // VMULslv4i32
134217728U, // VMULslv8i16
0U, // VMULv16i8
0U, // VMULv2i32
0U, // VMULv4i16
0U, // VMULv4i32
0U, // VMULv8i16
0U, // VMULv8i8
16384U, // VMVNd
16384U, // VMVNq
4736U, // VMVNv2i32
4736U, // VMVNv4i16
4736U, // VMVNv4i32
4736U, // VMVNv8i16
648U, // VNEGD
16384U, // VNEGH
16384U, // VNEGS
16384U, // VNEGf32q
16384U, // VNEGfd
16384U, // VNEGhd
16384U, // VNEGhq
16384U, // VNEGs16d
16384U, // VNEGs16q
16384U, // VNEGs32d
16384U, // VNEGs32q
16384U, // VNEGs8d
16384U, // VNEGs8q
50070U, // VNMLAD
8914432U, // VNMLAH
8914432U, // VNMLAS
50070U, // VNMLSD
8914432U, // VNMLSH
8914432U, // VNMLSS
10568584U, // VNMULD
0U, // VNMULH
0U, // VNMULS
0U, // VORNd
0U, // VORNq
0U, // VORRd
4736U, // VORRiv2i32
4736U, // VORRiv4i16
4736U, // VORRiv4i32
4736U, // VORRiv8i16
0U, // VORRq
17920U, // VPADALsv16i8
17920U, // VPADALsv2i32
17920U, // VPADALsv4i16
17920U, // VPADALsv4i32
17920U, // VPADALsv8i16
17920U, // VPADALsv8i8
17920U, // VPADALuv16i8
17920U, // VPADALuv2i32
17920U, // VPADALuv4i16
17920U, // VPADALuv4i32
17920U, // VPADALuv8i16
17920U, // VPADALuv8i8
16384U, // VPADDLsv16i8
16384U, // VPADDLsv2i32
16384U, // VPADDLsv4i16
16384U, // VPADDLsv4i32
16384U, // VPADDLsv8i16
16384U, // VPADDLsv8i8
16384U, // VPADDLuv16i8
16384U, // VPADDLuv2i32
16384U, // VPADDLuv4i16
16384U, // VPADDLuv4i32
16384U, // VPADDLuv8i16
16384U, // VPADDLuv8i8
0U, // VPADDf
0U, // VPADDh
0U, // VPADDi16
0U, // VPADDi32
0U, // VPADDi8
0U, // VPMAXf
0U, // VPMAXh
0U, // VPMAXs16
0U, // VPMAXs32
0U, // VPMAXs8
0U, // VPMAXu16
0U, // VPMAXu32
0U, // VPMAXu8
0U, // VPMINf
0U, // VPMINh
0U, // VPMINs16
0U, // VPMINs32
0U, // VPMINs8
0U, // VPMINu16
0U, // VPMINu32
0U, // VPMINu8
16384U, // VQABSv16i8
16384U, // VQABSv2i32
16384U, // VQABSv4i16
16384U, // VQABSv4i32
16384U, // VQABSv8i16
16384U, // VQABSv8i8
0U, // VQADDsv16i8
17920U, // VQADDsv1i64
0U, // VQADDsv2i32
17920U, // VQADDsv2i64
0U, // VQADDsv4i16
0U, // VQADDsv4i32
0U, // VQADDsv8i16
0U, // VQADDsv8i8
0U, // VQADDuv16i8
0U, // VQADDuv1i64
0U, // VQADDuv2i32
0U, // VQADDuv2i64
0U, // VQADDuv4i16
0U, // VQADDuv4i32
0U, // VQADDuv8i16
0U, // VQADDuv8i8
445122048U, // VQDMLALslv2i32
445122048U, // VQDMLALslv4i16
8914432U, // VQDMLALv2i64
8914432U, // VQDMLALv4i32
445122048U, // VQDMLSLslv2i32
445122048U, // VQDMLSLslv4i16
8914432U, // VQDMLSLv2i64
8914432U, // VQDMLSLv4i32
134217728U, // VQDMULHslv2i32
134217728U, // VQDMULHslv4i16
134217728U, // VQDMULHslv4i32
134217728U, // VQDMULHslv8i16
0U, // VQDMULHv2i32
0U, // VQDMULHv4i16
0U, // VQDMULHv4i32
0U, // VQDMULHv8i16
134217728U, // VQDMULLslv2i32
134217728U, // VQDMULLslv4i16
0U, // VQDMULLv2i64
0U, // VQDMULLv4i32
2U, // VQMOVNsuv2i32
16384U, // VQMOVNsuv4i16
16384U, // VQMOVNsuv8i8
2U, // VQMOVNsv2i32
16384U, // VQMOVNsv4i16
16384U, // VQMOVNsv8i8
16384U, // VQMOVNuv2i32
16384U, // VQMOVNuv4i16
16384U, // VQMOVNuv8i8
16384U, // VQNEGv16i8
16384U, // VQNEGv2i32
16384U, // VQNEGv4i16
16384U, // VQNEGv4i32
16384U, // VQNEGv8i16
16384U, // VQNEGv8i8
445122048U, // VQRDMLAHslv2i32
445122048U, // VQRDMLAHslv4i16
445122048U, // VQRDMLAHslv4i32
445122048U, // VQRDMLAHslv8i16
8914432U, // VQRDMLAHv2i32
8914432U, // VQRDMLAHv4i16
8914432U, // VQRDMLAHv4i32
8914432U, // VQRDMLAHv8i16
445122048U, // VQRDMLSHslv2i32
445122048U, // VQRDMLSHslv4i16
445122048U, // VQRDMLSHslv4i32
445122048U, // VQRDMLSHslv8i16
8914432U, // VQRDMLSHv2i32
8914432U, // VQRDMLSHv4i16
8914432U, // VQRDMLSHv4i32
8914432U, // VQRDMLSHv8i16
134217728U, // VQRDMULHslv2i32
134217728U, // VQRDMULHslv4i16
134217728U, // VQRDMULHslv4i32
134217728U, // VQRDMULHslv8i16
0U, // VQRDMULHv2i32
0U, // VQRDMULHv4i16
0U, // VQRDMULHv4i32
0U, // VQRDMULHv8i16
0U, // VQRSHLsv16i8
17920U, // VQRSHLsv1i64
0U, // VQRSHLsv2i32
17920U, // VQRSHLsv2i64
0U, // VQRSHLsv4i16
0U, // VQRSHLsv4i32
0U, // VQRSHLsv8i16
0U, // VQRSHLsv8i8
0U, // VQRSHLuv16i8
0U, // VQRSHLuv1i64
0U, // VQRSHLuv2i32
0U, // VQRSHLuv2i64
0U, // VQRSHLuv4i16
0U, // VQRSHLuv4i32
0U, // VQRSHLuv8i16
0U, // VQRSHLuv8i8
17920U, // VQRSHRNsv2i32
0U, // VQRSHRNsv4i16
0U, // VQRSHRNsv8i8
0U, // VQRSHRNuv2i32
0U, // VQRSHRNuv4i16
0U, // VQRSHRNuv8i8
17920U, // VQRSHRUNv2i32
0U, // VQRSHRUNv4i16
0U, // VQRSHRUNv8i8
0U, // VQSHLsiv16i8
17920U, // VQSHLsiv1i64
0U, // VQSHLsiv2i32
17920U, // VQSHLsiv2i64
0U, // VQSHLsiv4i16
0U, // VQSHLsiv4i32
0U, // VQSHLsiv8i16
0U, // VQSHLsiv8i8
0U, // VQSHLsuv16i8
17920U, // VQSHLsuv1i64
0U, // VQSHLsuv2i32
17920U, // VQSHLsuv2i64
0U, // VQSHLsuv4i16
0U, // VQSHLsuv4i32
0U, // VQSHLsuv8i16
0U, // VQSHLsuv8i8
0U, // VQSHLsv16i8
17920U, // VQSHLsv1i64
0U, // VQSHLsv2i32
17920U, // VQSHLsv2i64
0U, // VQSHLsv4i16
0U, // VQSHLsv4i32
0U, // VQSHLsv8i16
0U, // VQSHLsv8i8
0U, // VQSHLuiv16i8
0U, // VQSHLuiv1i64
0U, // VQSHLuiv2i32
0U, // VQSHLuiv2i64
0U, // VQSHLuiv4i16
0U, // VQSHLuiv4i32
0U, // VQSHLuiv8i16
0U, // VQSHLuiv8i8
0U, // VQSHLuv16i8
0U, // VQSHLuv1i64
0U, // VQSHLuv2i32
0U, // VQSHLuv2i64
0U, // VQSHLuv4i16
0U, // VQSHLuv4i32
0U, // VQSHLuv8i16
0U, // VQSHLuv8i8
17920U, // VQSHRNsv2i32
0U, // VQSHRNsv4i16
0U, // VQSHRNsv8i8
0U, // VQSHRNuv2i32
0U, // VQSHRNuv4i16
0U, // VQSHRNuv8i8
17920U, // VQSHRUNv2i32
0U, // VQSHRUNv4i16
0U, // VQSHRUNv8i8
0U, // VQSUBsv16i8
17920U, // VQSUBsv1i64
0U, // VQSUBsv2i32
17920U, // VQSUBsv2i64
0U, // VQSUBsv4i16
0U, // VQSUBsv4i32
0U, // VQSUBsv8i16
0U, // VQSUBsv8i8
0U, // VQSUBuv16i8
0U, // VQSUBuv1i64
0U, // VQSUBuv2i32
0U, // VQSUBuv2i64
0U, // VQSUBuv4i16
0U, // VQSUBuv4i32
0U, // VQSUBuv8i16
0U, // VQSUBuv8i8
17920U, // VRADDHNv2i32
0U, // VRADDHNv4i16
0U, // VRADDHNv8i8
16384U, // VRECPEd
16384U, // VRECPEfd
16384U, // VRECPEfq
16384U, // VRECPEhd
16384U, // VRECPEhq
16384U, // VRECPEq
0U, // VRECPSfd
0U, // VRECPSfq
0U, // VRECPShd
0U, // VRECPShq
16384U, // VREV16d8
16384U, // VREV16q8
16384U, // VREV32d16
16384U, // VREV32d8
16384U, // VREV32q16
16384U, // VREV32q8
16384U, // VREV64d16
16384U, // VREV64d32
16384U, // VREV64d8
16384U, // VREV64q16
16384U, // VREV64q32
16384U, // VREV64q8
0U, // VRHADDsv16i8
0U, // VRHADDsv2i32
0U, // VRHADDsv4i16
0U, // VRHADDsv4i32
0U, // VRHADDsv8i16
0U, // VRHADDsv8i8
0U, // VRHADDuv16i8
0U, // VRHADDuv2i32
0U, // VRHADDuv4i16
0U, // VRHADDuv4i32
0U, // VRHADDuv8i16
0U, // VRHADDuv8i8
2U, // VRINTAD
2U, // VRINTAH
2U, // VRINTANDf
2U, // VRINTANDh
2U, // VRINTANQf
2U, // VRINTANQh
2U, // VRINTAS
2U, // VRINTMD
2U, // VRINTMH
2U, // VRINTMNDf
2U, // VRINTMNDh
2U, // VRINTMNQf
2U, // VRINTMNQh
2U, // VRINTMS
2U, // VRINTND
2U, // VRINTNH
2U, // VRINTNNDf
2U, // VRINTNNDh
2U, // VRINTNNQf
2U, // VRINTNNQh
2U, // VRINTNS
2U, // VRINTPD
2U, // VRINTPH
2U, // VRINTPNDf
2U, // VRINTPNDh
2U, // VRINTPNQf
2U, // VRINTPNQh
2U, // VRINTPS
648U, // VRINTRD
16384U, // VRINTRH
16384U, // VRINTRS
648U, // VRINTXD
16384U, // VRINTXH
2U, // VRINTXNDf
2U, // VRINTXNDh
2U, // VRINTXNQf
2U, // VRINTXNQh
16384U, // VRINTXS
648U, // VRINTZD
16384U, // VRINTZH
2U, // VRINTZNDf
2U, // VRINTZNDh
2U, // VRINTZNQf
2U, // VRINTZNQh
16384U, // VRINTZS
0U, // VRSHLsv16i8
17920U, // VRSHLsv1i64
0U, // VRSHLsv2i32
17920U, // VRSHLsv2i64
0U, // VRSHLsv4i16
0U, // VRSHLsv4i32
0U, // VRSHLsv8i16
0U, // VRSHLsv8i8
0U, // VRSHLuv16i8
0U, // VRSHLuv1i64
0U, // VRSHLuv2i32
0U, // VRSHLuv2i64
0U, // VRSHLuv4i16
0U, // VRSHLuv4i32
0U, // VRSHLuv8i16
0U, // VRSHLuv8i8
17920U, // VRSHRNv2i32
0U, // VRSHRNv4i16
0U, // VRSHRNv8i8
0U, // VRSHRsv16i8
17920U, // VRSHRsv1i64
0U, // VRSHRsv2i32
17920U, // VRSHRsv2i64
0U, // VRSHRsv4i16
0U, // VRSHRsv4i32
0U, // VRSHRsv8i16
0U, // VRSHRsv8i8
0U, // VRSHRuv16i8
0U, // VRSHRuv1i64
0U, // VRSHRuv2i32
0U, // VRSHRuv2i64
0U, // VRSHRuv4i16
0U, // VRSHRuv4i32
0U, // VRSHRuv8i16
0U, // VRSHRuv8i8
16384U, // VRSQRTEd
16384U, // VRSQRTEfd
16384U, // VRSQRTEfq
16384U, // VRSQRTEhd
16384U, // VRSQRTEhq
16384U, // VRSQRTEq
0U, // VRSQRTSfd
0U, // VRSQRTSfq
0U, // VRSQRTShd
0U, // VRSQRTShq
8914432U, // VRSRAsv16i8
16896U, // VRSRAsv1i64
8914432U, // VRSRAsv2i32
16896U, // VRSRAsv2i64
8914432U, // VRSRAsv4i16
8914432U, // VRSRAsv4i32
8914432U, // VRSRAsv8i16
8914432U, // VRSRAsv8i8
8914432U, // VRSRAuv16i8
8914432U, // VRSRAuv1i64
8914432U, // VRSRAuv2i32
8914432U, // VRSRAuv2i64
8914432U, // VRSRAuv4i16
8914432U, // VRSRAuv4i32
8914432U, // VRSRAuv8i16
8914432U, // VRSRAuv8i8
17920U, // VRSUBHNv2i32
0U, // VRSUBHNv4i16
0U, // VRSUBHNv8i8
0U, // VSCCLRMD
0U, // VSCCLRMS
2U, // VSDOTD
664U, // VSDOTDI
2U, // VSDOTQ
664U, // VSDOTQI
17920U, // VSELEQD
17920U, // VSELEQH
17920U, // VSELEQS
17920U, // VSELGED
17920U, // VSELGEH
17920U, // VSELGES
17920U, // VSELGTD
17920U, // VSELGTH
17920U, // VSELGTS
17920U, // VSELVSD
17920U, // VSELVSH
17920U, // VSELVSS
26U, // VSETLNi16
26U, // VSETLNi32
26U, // VSETLNi8
0U, // VSHLLi16
0U, // VSHLLi32
0U, // VSHLLi8
0U, // VSHLLsv2i64
0U, // VSHLLsv4i32
0U, // VSHLLsv8i16
0U, // VSHLLuv2i64
0U, // VSHLLuv4i32
0U, // VSHLLuv8i16
0U, // VSHLiv16i8
17920U, // VSHLiv1i64
0U, // VSHLiv2i32
17920U, // VSHLiv2i64
0U, // VSHLiv4i16
0U, // VSHLiv4i32
0U, // VSHLiv8i16
0U, // VSHLiv8i8
0U, // VSHLsv16i8
17920U, // VSHLsv1i64
0U, // VSHLsv2i32
17920U, // VSHLsv2i64
0U, // VSHLsv4i16
0U, // VSHLsv4i32
0U, // VSHLsv8i16
0U, // VSHLsv8i8
0U, // VSHLuv16i8
0U, // VSHLuv1i64
0U, // VSHLuv2i32
0U, // VSHLuv2i64
0U, // VSHLuv4i16
0U, // VSHLuv4i32
0U, // VSHLuv8i16
0U, // VSHLuv8i8
17920U, // VSHRNv2i32
0U, // VSHRNv4i16
0U, // VSHRNv8i8
0U, // VSHRsv16i8
17920U, // VSHRsv1i64
0U, // VSHRsv2i32
17920U, // VSHRsv2i64
0U, // VSHRsv4i16
0U, // VSHRsv4i32
0U, // VSHRsv8i16
0U, // VSHRsv8i8
0U, // VSHRuv16i8
0U, // VSHRuv1i64
0U, // VSHRuv2i32
0U, // VSHRuv2i64
0U, // VSHRuv4i16
0U, // VSHRuv4i32
0U, // VSHRuv8i16
0U, // VSHRuv8i8
0U, // VSHTOD
64U, // VSHTOH
0U, // VSHTOS
0U, // VSITOD
0U, // VSITOH
0U, // VSITOS
8914432U, // VSLIv16i8
8914432U, // VSLIv1i64
8914432U, // VSLIv2i32
8914432U, // VSLIv2i64
8914432U, // VSLIv4i16
8914432U, // VSLIv4i32
8914432U, // VSLIv8i16
8914432U, // VSLIv8i8
66U, // VSLTOD
66U, // VSLTOH
66U, // VSLTOS
648U, // VSQRTD
16384U, // VSQRTH
16384U, // VSQRTS
8914432U, // VSRAsv16i8
16896U, // VSRAsv1i64
8914432U, // VSRAsv2i32
16896U, // VSRAsv2i64
8914432U, // VSRAsv4i16
8914432U, // VSRAsv4i32
8914432U, // VSRAsv8i16
8914432U, // VSRAsv8i8
8914432U, // VSRAuv16i8
8914432U, // VSRAuv1i64
8914432U, // VSRAuv2i32
8914432U, // VSRAuv2i64
8914432U, // VSRAuv4i16
8914432U, // VSRAuv4i32
8914432U, // VSRAuv8i16
8914432U, // VSRAuv8i8
8914432U, // VSRIv16i8
8914432U, // VSRIv1i64
8914432U, // VSRIv2i32
8914432U, // VSRIv2i64
8914432U, // VSRIv4i16
8914432U, // VSRIv4i32
8914432U, // VSRIv8i16
8914432U, // VSRIv8i8
6304U, // VST1LNd16
482105632U, // VST1LNd16_UPD
6304U, // VST1LNd32
482105632U, // VST1LNd32_UPD
6304U, // VST1LNd8
482105632U, // VST1LNd8_UPD
0U, // VST1LNq16Pseudo
0U, // VST1LNq16Pseudo_UPD
0U, // VST1LNq32Pseudo
0U, // VST1LNq32Pseudo_UPD
0U, // VST1LNq8Pseudo
0U, // VST1LNq8Pseudo_UPD
0U, // VST1d16
0U, // VST1d16Q
0U, // VST1d16QPseudo
0U, // VST1d16Qwb_fixed
0U, // VST1d16Qwb_register
0U, // VST1d16T
0U, // VST1d16TPseudo
0U, // VST1d16Twb_fixed
0U, // VST1d16Twb_register
0U, // VST1d16wb_fixed
0U, // VST1d16wb_register
0U, // VST1d32
0U, // VST1d32Q
0U, // VST1d32QPseudo
0U, // VST1d32Qwb_fixed
0U, // VST1d32Qwb_register
0U, // VST1d32T
0U, // VST1d32TPseudo
0U, // VST1d32Twb_fixed
0U, // VST1d32Twb_register
0U, // VST1d32wb_fixed
0U, // VST1d32wb_register
0U, // VST1d64
0U, // VST1d64Q
0U, // VST1d64QPseudo
0U, // VST1d64QPseudoWB_fixed
0U, // VST1d64QPseudoWB_register
0U, // VST1d64Qwb_fixed
0U, // VST1d64Qwb_register
0U, // VST1d64T
0U, // VST1d64TPseudo
0U, // VST1d64TPseudoWB_fixed
0U, // VST1d64TPseudoWB_register
0U, // VST1d64Twb_fixed
0U, // VST1d64Twb_register
0U, // VST1d64wb_fixed
0U, // VST1d64wb_register
0U, // VST1d8
0U, // VST1d8Q
0U, // VST1d8QPseudo
0U, // VST1d8Qwb_fixed
0U, // VST1d8Qwb_register
0U, // VST1d8T
0U, // VST1d8TPseudo
0U, // VST1d8Twb_fixed
0U, // VST1d8Twb_register
0U, // VST1d8wb_fixed
0U, // VST1d8wb_register
0U, // VST1q16
0U, // VST1q16HighQPseudo
0U, // VST1q16HighTPseudo
0U, // VST1q16LowQPseudo_UPD
0U, // VST1q16LowTPseudo_UPD
0U, // VST1q16wb_fixed
0U, // VST1q16wb_register
0U, // VST1q32
0U, // VST1q32HighQPseudo
0U, // VST1q32HighTPseudo
0U, // VST1q32LowQPseudo_UPD
0U, // VST1q32LowTPseudo_UPD
0U, // VST1q32wb_fixed
0U, // VST1q32wb_register
0U, // VST1q64
0U, // VST1q64HighQPseudo
0U, // VST1q64HighTPseudo
0U, // VST1q64LowQPseudo_UPD
0U, // VST1q64LowTPseudo_UPD
0U, // VST1q64wb_fixed
0U, // VST1q64wb_register
0U, // VST1q8
0U, // VST1q8HighQPseudo
0U, // VST1q8HighTPseudo
0U, // VST1q8LowQPseudo_UPD
0U, // VST1q8LowTPseudo_UPD
0U, // VST1q8wb_fixed
0U, // VST1q8wb_register
411866144U, // VST2LNd16
0U, // VST2LNd16Pseudo
0U, // VST2LNd16Pseudo_UPD
15504544U, // VST2LNd16_UPD
411866144U, // VST2LNd32
0U, // VST2LNd32Pseudo
0U, // VST2LNd32Pseudo_UPD
15504544U, // VST2LNd32_UPD
411866144U, // VST2LNd8
0U, // VST2LNd8Pseudo
0U, // VST2LNd8Pseudo_UPD
15504544U, // VST2LNd8_UPD
411866144U, // VST2LNq16
0U, // VST2LNq16Pseudo
0U, // VST2LNq16Pseudo_UPD
15504544U, // VST2LNq16_UPD
411866144U, // VST2LNq32
0U, // VST2LNq32Pseudo
0U, // VST2LNq32Pseudo_UPD
15504544U, // VST2LNq32_UPD
0U, // VST2b16
0U, // VST2b16wb_fixed
0U, // VST2b16wb_register
0U, // VST2b32
0U, // VST2b32wb_fixed
0U, // VST2b32wb_register
0U, // VST2b8
0U, // VST2b8wb_fixed
0U, // VST2b8wb_register
0U, // VST2d16
0U, // VST2d16wb_fixed
0U, // VST2d16wb_register
0U, // VST2d32
0U, // VST2d32wb_fixed
0U, // VST2d32wb_register
0U, // VST2d8
0U, // VST2d8wb_fixed
0U, // VST2d8wb_register
0U, // VST2q16
0U, // VST2q16Pseudo
0U, // VST2q16PseudoWB_fixed
0U, // VST2q16PseudoWB_register
0U, // VST2q16wb_fixed
0U, // VST2q16wb_register
0U, // VST2q32
0U, // VST2q32Pseudo
0U, // VST2q32PseudoWB_fixed
0U, // VST2q32PseudoWB_register
0U, // VST2q32wb_fixed
0U, // VST2q32wb_register
0U, // VST2q8
0U, // VST2q8Pseudo
0U, // VST2q8PseudoWB_fixed
0U, // VST2q8PseudoWB_register
0U, // VST2q8wb_fixed
0U, // VST2q8wb_register
411867424U, // VST3LNd16
0U, // VST3LNd16Pseudo
0U, // VST3LNd16Pseudo_UPD
6560U, // VST3LNd16_UPD
411867424U, // VST3LNd32
0U, // VST3LNd32Pseudo
0U, // VST3LNd32Pseudo_UPD
6560U, // VST3LNd32_UPD
411867424U, // VST3LNd8
0U, // VST3LNd8Pseudo
0U, // VST3LNd8Pseudo_UPD
6560U, // VST3LNd8_UPD
411867424U, // VST3LNq16
0U, // VST3LNq16Pseudo
0U, // VST3LNq16Pseudo_UPD
6560U, // VST3LNq16_UPD
411867424U, // VST3LNq32
0U, // VST3LNq32Pseudo
0U, // VST3LNq32Pseudo_UPD
6560U, // VST3LNq32_UPD
369623552U, // VST3d16
0U, // VST3d16Pseudo
0U, // VST3d16Pseudo_UPD
367104U, // VST3d16_UPD
369623552U, // VST3d32
0U, // VST3d32Pseudo
0U, // VST3d32Pseudo_UPD
367104U, // VST3d32_UPD
369623552U, // VST3d8
0U, // VST3d8Pseudo
0U, // VST3d8Pseudo_UPD
367104U, // VST3d8_UPD
369623552U, // VST3q16
0U, // VST3q16Pseudo_UPD
367104U, // VST3q16_UPD
0U, // VST3q16oddPseudo
0U, // VST3q16oddPseudo_UPD
369623552U, // VST3q32
0U, // VST3q32Pseudo_UPD
367104U, // VST3q32_UPD
0U, // VST3q32oddPseudo
0U, // VST3q32oddPseudo_UPD
369623552U, // VST3q8
0U, // VST3q8Pseudo_UPD
367104U, // VST3q8_UPD
0U, // VST3q8oddPseudo
0U, // VST3q8oddPseudo_UPD
411866272U, // VST4LNd16
0U, // VST4LNd16Pseudo
0U, // VST4LNd16Pseudo_UPD
382240U, // VST4LNd16_UPD
411866272U, // VST4LNd32
0U, // VST4LNd32Pseudo
0U, // VST4LNd32Pseudo_UPD
382240U, // VST4LNd32_UPD
411866272U, // VST4LNd8
0U, // VST4LNd8Pseudo
0U, // VST4LNd8Pseudo_UPD
382240U, // VST4LNd8_UPD
411866272U, // VST4LNq16
0U, // VST4LNq16Pseudo
0U, // VST4LNq16Pseudo_UPD
382240U, // VST4LNq16_UPD
411866272U, // VST4LNq32
0U, // VST4LNq32Pseudo
0U, // VST4LNq32Pseudo_UPD
382240U, // VST4LNq32_UPD
34079232U, // VST4d16
0U, // VST4d16Pseudo
0U, // VST4d16Pseudo_UPD
15735296U, // VST4d16_UPD
34079232U, // VST4d32
0U, // VST4d32Pseudo
0U, // VST4d32Pseudo_UPD
15735296U, // VST4d32_UPD
34079232U, // VST4d8
0U, // VST4d8Pseudo
0U, // VST4d8Pseudo_UPD
15735296U, // VST4d8_UPD
34079232U, // VST4q16
0U, // VST4q16Pseudo_UPD
15735296U, // VST4q16_UPD
0U, // VST4q16oddPseudo
0U, // VST4q16oddPseudo_UPD
34079232U, // VST4q32
0U, // VST4q32Pseudo_UPD
15735296U, // VST4q32_UPD
0U, // VST4q32oddPseudo
0U, // VST4q32oddPseudo_UPD
34079232U, // VST4q8
0U, // VST4q8Pseudo_UPD
15735296U, // VST4q8_UPD
0U, // VST4q8oddPseudo
0U, // VST4q8oddPseudo_UPD
652U, // VSTMDDB_UPD
18432U, // VSTMDIA
652U, // VSTMDIA_UPD
0U, // VSTMQIA
652U, // VSTMSDB_UPD
18432U, // VSTMSIA
652U, // VSTMSIA_UPD
6016U, // VSTRD
6144U, // VSTRH
6016U, // VSTRS
0U, // VSTR_FPCXTNS_off
0U, // VSTR_FPCXTNS_post
0U, // VSTR_FPCXTNS_pre
0U, // VSTR_FPCXTS_off
0U, // VSTR_FPCXTS_post
0U, // VSTR_FPCXTS_pre
0U, // VSTR_FPSCR_NZCVQC_off
0U, // VSTR_FPSCR_NZCVQC_post
0U, // VSTR_FPSCR_NZCVQC_pre
0U, // VSTR_FPSCR_off
0U, // VSTR_FPSCR_post
0U, // VSTR_FPSCR_pre
0U, // VSTR_P0_off
36U, // VSTR_P0_post
0U, // VSTR_P0_pre
0U, // VSTR_VPR_off
0U, // VSTR_VPR_post
0U, // VSTR_VPR_pre
10568584U, // VSUBD
0U, // VSUBH
17920U, // VSUBHNv2i32
0U, // VSUBHNv4i16
0U, // VSUBHNv8i8
0U, // VSUBLsv2i64
0U, // VSUBLsv4i32
0U, // VSUBLsv8i16
0U, // VSUBLuv2i64
0U, // VSUBLuv4i32
0U, // VSUBLuv8i16
0U, // VSUBS
0U, // VSUBWsv2i64
0U, // VSUBWsv4i32
0U, // VSUBWsv8i16
0U, // VSUBWuv2i64
0U, // VSUBWuv4i32
0U, // VSUBWuv8i16
0U, // VSUBfd
0U, // VSUBfq
0U, // VSUBhd
0U, // VSUBhq
0U, // VSUBv16i8
17920U, // VSUBv1i64
0U, // VSUBv2i32
17920U, // VSUBv2i64
0U, // VSUBv4i16
0U, // VSUBv4i32
0U, // VSUBv8i16
0U, // VSUBv8i8
16384U, // VSWPd
16384U, // VSWPq
6784U, // VTBL1
6912U, // VTBL2
7040U, // VTBL3
0U, // VTBL3Pseudo
7168U, // VTBL4
0U, // VTBL4Pseudo
7296U, // VTBX1
7424U, // VTBX2
7552U, // VTBX3
0U, // VTBX3Pseudo
7680U, // VTBX4
0U, // VTBX4Pseudo
0U, // VTOSHD
64U, // VTOSHH
0U, // VTOSHS
0U, // VTOSIRD
0U, // VTOSIRH
0U, // VTOSIRS
0U, // VTOSIZD
0U, // VTOSIZH
0U, // VTOSIZS
66U, // VTOSLD
66U, // VTOSLH
66U, // VTOSLS
0U, // VTOUHD
64U, // VTOUHH
0U, // VTOUHS
0U, // VTOUIRD
0U, // VTOUIRH
0U, // VTOUIRS
0U, // VTOUIZD
0U, // VTOUIZH
0U, // VTOUIZS
66U, // VTOULD
66U, // VTOULH
66U, // VTOULS
16384U, // VTRNd16
16384U, // VTRNd32
16384U, // VTRNd8
16384U, // VTRNq16
16384U, // VTRNq32
16384U, // VTRNq8
0U, // VTSTv16i8
0U, // VTSTv2i32
0U, // VTSTv4i16
0U, // VTSTv4i32
0U, // VTSTv8i16
0U, // VTSTv8i8
2U, // VUDOTD
664U, // VUDOTDI
2U, // VUDOTQ
664U, // VUDOTQI
0U, // VUHTOD
64U, // VUHTOH
0U, // VUHTOS
0U, // VUITOD
0U, // VUITOH
0U, // VUITOS
66U, // VULTOD
66U, // VULTOH
66U, // VULTOS
16384U, // VUZPd16
16384U, // VUZPd8
16384U, // VUZPq16
16384U, // VUZPq32
16384U, // VUZPq8
16384U, // VZIPd16
16384U, // VZIPd8
16384U, // VZIPq16
16384U, // VZIPq32
16384U, // VZIPq8
395264U, // sysLDMDA
7820U, // sysLDMDA_UPD
395264U, // sysLDMDB
7820U, // sysLDMDB_UPD
395264U, // sysLDMIA
7820U, // sysLDMIA_UPD
395264U, // sysLDMIB
7820U, // sysLDMIB_UPD
395264U, // sysSTMDA
7820U, // sysSTMDA_UPD
395264U, // sysSTMDB
7820U, // sysSTMDB_UPD
395264U, // sysSTMIA
7820U, // sysSTMIA_UPD
395264U, // sysSTMIB
7820U, // sysSTMIB_UPD
0U, // t2ADCri
0U, // t2ADCrr
16252928U, // t2ADCrs
0U, // t2ADDri
0U, // t2ADDri12
0U, // t2ADDrr
16252928U, // t2ADDrs
0U, // t2ADDspImm
0U, // t2ADDspImm12
1280U, // t2ADR
0U, // t2ANDri
0U, // t2ANDrr
16252928U, // t2ANDrs
16777216U, // t2ASRri
0U, // t2ASRrr
2U, // t2B
1408U, // t2BFC
2098688U, // t2BFI
16384U, // t2BFLi
16384U, // t2BFLr
16384U, // t2BFi
17303040U, // t2BFic
16384U, // t2BFr
0U, // t2BICri
0U, // t2BICrr
16252928U, // t2BICrs
2U, // t2BXJ
2U, // t2Bcc
66440U, // t2CDP
66440U, // t2CDP2
0U, // t2CLREX
0U, // t2CLRM
16384U, // t2CLZ
16384U, // t2CMNri
16384U, // t2CMNzrr
1024U, // t2CMNzrs
16384U, // t2CMPri
16384U, // t2CMPrr
1024U, // t2CMPrs
0U, // t2CPS1p
2U, // t2CPS2p
17920U, // t2CPS3p
17920U, // t2CRC32B
17920U, // t2CRC32CB
17920U, // t2CRC32CH
17920U, // t2CRC32CW
17920U, // t2CRC32H
17920U, // t2CRC32W
17303040U, // t2CSEL
17303040U, // t2CSINC
17303040U, // t2CSINV
17303040U, // t2CSNEG
2U, // t2DBG
0U, // t2DCPS1
0U, // t2DCPS2
0U, // t2DCPS3
2U, // t2DLS
0U, // t2DMB
0U, // t2DSB
0U, // t2EORri
0U, // t2EORrr
16252928U, // t2EORrs
2U, // t2HINT
0U, // t2HVC
0U, // t2ISB
0U, // t2IT
0U, // t2Int_eh_sjlj_setjmp
0U, // t2Int_eh_sjlj_setjmp_nofp
128U, // t2LDA
128U, // t2LDAB
128U, // t2LDAEX
128U, // t2LDAEXB
9961472U, // t2LDAEXD
128U, // t2LDAEXH
128U, // t2LDAH
2446U, // t2LDC2L_OFFSET
2624014U, // t2LDC2L_OPTION
3148302U, // t2LDC2L_POST
2702U, // t2LDC2L_PRE
2446U, // t2LDC2_OFFSET
2624014U, // t2LDC2_OPTION
3148302U, // t2LDC2_POST
2702U, // t2LDC2_PRE
2446U, // t2LDCL_OFFSET
2624014U, // t2LDCL_OPTION
3148302U, // t2LDCL_POST
2702U, // t2LDCL_PRE
2446U, // t2LDC_OFFSET
2624014U, // t2LDC_OPTION
3148302U, // t2LDC_POST
2702U, // t2LDC_PRE
18432U, // t2LDMDB
652U, // t2LDMDB_UPD
18432U, // t2LDMIA
652U, // t2LDMIA_UPD
3840U, // t2LDRBT
100864U, // t2LDRB_POST
4224U, // t2LDRB_PRE
3072U, // t2LDRBi12
3840U, // t2LDRBi8
7936U, // t2LDRBpci
8064U, // t2LDRBs
508035072U, // t2LDRD_POST
17825792U, // t2LDRD_PRE
18350080U, // t2LDRDi8
8192U, // t2LDREX
128U, // t2LDREXB
9961472U, // t2LDREXD
128U, // t2LDREXH
3840U, // t2LDRHT
100864U, // t2LDRH_POST
4224U, // t2LDRH_PRE
3072U, // t2LDRHi12
3840U, // t2LDRHi8
7936U, // t2LDRHpci
8064U, // t2LDRHs
3840U, // t2LDRSBT
100864U, // t2LDRSB_POST
4224U, // t2LDRSB_PRE
3072U, // t2LDRSBi12
3840U, // t2LDRSBi8
7936U, // t2LDRSBpci
8064U, // t2LDRSBs
3840U, // t2LDRSHT
100864U, // t2LDRSH_POST
4224U, // t2LDRSH_PRE
3072U, // t2LDRSHi12
3840U, // t2LDRSHi8
7936U, // t2LDRSHpci
8064U, // t2LDRSHs
3840U, // t2LDRT
100864U, // t2LDR_POST
4224U, // t2LDR_PRE
3072U, // t2LDRi12
3840U, // t2LDRi8
7936U, // t2LDRpci
8064U, // t2LDRs
0U, // t2LE
0U, // t2LEUpdate
0U, // t2LSLri
0U, // t2LSLrr
16777216U, // t2LSRri
0U, // t2LSRrr
74531720U, // t2MCR
74531720U, // t2MCR2
108086152U, // t2MCRR
108086152U, // t2MCRR2
33554432U, // t2MLA
33554432U, // t2MLS
17920U, // t2MOVTi16
16384U, // t2MOVi
16384U, // t2MOVi16
16384U, // t2MOVr
409600U, // t2MOVsra_flag
409600U, // t2MOVsrl_flag
0U, // t2MRC
0U, // t2MRC2
0U, // t2MRRC
0U, // t2MRRC2
16U, // t2MRS_AR
8320U, // t2MRS_M
3712U, // t2MRSbanked
18U, // t2MRSsys_AR
648U, // t2MSR_AR
648U, // t2MSR_M
0U, // t2MSRbanked
0U, // t2MUL
16384U, // t2MVNi
16384U, // t2MVNr
1024U, // t2MVNs
0U, // t2ORNri
0U, // t2ORNrr
16252928U, // t2ORNrs
0U, // t2ORRri
0U, // t2ORRrr
16252928U, // t2ORRrs
167772160U, // t2PKHBT
201326592U, // t2PKHTB
0U, // t2PLDWi12
0U, // t2PLDWi8
0U, // t2PLDWs
0U, // t2PLDi12
0U, // t2PLDi8
0U, // t2PLDpci
0U, // t2PLDs
0U, // t2PLIi12
0U, // t2PLIi8
0U, // t2PLIpci
0U, // t2PLIs
0U, // t2QADD
0U, // t2QADD16
0U, // t2QADD8
0U, // t2QASX
0U, // t2QDADD
0U, // t2QDSUB
0U, // t2QSAX
0U, // t2QSUB
0U, // t2QSUB16
0U, // t2QSUB8
16384U, // t2RBIT
16384U, // t2REV
16384U, // t2REV16
16384U, // t2REVSH
2U, // t2RFEDB
4U, // t2RFEDBW
2U, // t2RFEIA
4U, // t2RFEIAW
0U, // t2RORri
0U, // t2RORrr
16384U, // t2RRX
0U, // t2RSBri
0U, // t2RSBrr
16252928U, // t2RSBrs
0U, // t2SADD16
0U, // t2SADD8
0U, // t2SASX
0U, // t2SB
0U, // t2SBCri
0U, // t2SBCrr
16252928U, // t2SBCrs
33554432U, // t2SBFX
0U, // t2SDIV
0U, // t2SEL
0U, // t2SETPAN
0U, // t2SG
0U, // t2SHADD16
0U, // t2SHADD8
0U, // t2SHASX
0U, // t2SHSAX
0U, // t2SHSUB16
0U, // t2SHSUB8
2U, // t2SMC
33554432U, // t2SMLABB
33554432U, // t2SMLABT
33554432U, // t2SMLAD
33554432U, // t2SMLADX
33554432U, // t2SMLAL
33554432U, // t2SMLALBB
33554432U, // t2SMLALBT
33554432U, // t2SMLALD
33554432U, // t2SMLALDX
33554432U, // t2SMLALTB
33554432U, // t2SMLALTT
33554432U, // t2SMLATB
33554432U, // t2SMLATT
33554432U, // t2SMLAWB
33554432U, // t2SMLAWT
33554432U, // t2SMLSD
33554432U, // t2SMLSDX
33554432U, // t2SMLSLD
33554432U, // t2SMLSLDX
33554432U, // t2SMMLA
33554432U, // t2SMMLAR
33554432U, // t2SMMLS
33554432U, // t2SMMLSR
0U, // t2SMMUL
0U, // t2SMMULR
0U, // t2SMUAD
0U, // t2SMUADX
0U, // t2SMULBB
0U, // t2SMULBT
33554432U, // t2SMULL
0U, // t2SMULTB
0U, // t2SMULTT
0U, // t2SMULWB
0U, // t2SMULWT
0U, // t2SMUSD
0U, // t2SMUSDX
0U, // t2SRSDB
0U, // t2SRSDB_UPD
0U, // t2SRSIA
0U, // t2SRSIA_UPD
185088U, // t2SSAT
21248U, // t2SSAT16
0U, // t2SSAX
0U, // t2SSUB16
0U, // t2SSUB8
2446U, // t2STC2L_OFFSET
2624014U, // t2STC2L_OPTION
3148302U, // t2STC2L_POST
2702U, // t2STC2L_PRE
2446U, // t2STC2_OFFSET
2624014U, // t2STC2_OPTION
3148302U, // t2STC2_POST
2702U, // t2STC2_PRE
2446U, // t2STCL_OFFSET
2624014U, // t2STCL_OPTION
3148302U, // t2STCL_POST
2702U, // t2STCL_PRE
2446U, // t2STC_OFFSET
2624014U, // t2STC_OPTION
3148302U, // t2STC_POST
2702U, // t2STC_PRE
128U, // t2STL
128U, // t2STLB
9961472U, // t2STLEX
9961472U, // t2STLEXB
33554432U, // t2STLEXD
9961472U, // t2STLEXH
128U, // t2STLH
18432U, // t2STMDB
652U, // t2STMDB_UPD
18432U, // t2STMIA
652U, // t2STMIA_UPD
3840U, // t2STRBT
100864U, // t2STRB_POST
4224U, // t2STRB_PRE
3072U, // t2STRBi12
3840U, // t2STRBi8
8064U, // t2STRBs
508036608U, // t2STRD_POST
17827328U, // t2STRD_PRE
18350080U, // t2STRDi8
18874368U, // t2STREX
9961472U, // t2STREXB
33554432U, // t2STREXD
9961472U, // t2STREXH
3840U, // t2STRHT
100864U, // t2STRH_POST
4224U, // t2STRH_PRE
3072U, // t2STRHi12
3840U, // t2STRHi8
8064U, // t2STRHs
3840U, // t2STRT
100864U, // t2STR_POST
4224U, // t2STR_PRE
3072U, // t2STRi12
3840U, // t2STRi8
8064U, // t2STRs
0U, // t2SUBS_PC_LR
0U, // t2SUBri
0U, // t2SUBri12
0U, // t2SUBrr
16252928U, // t2SUBrs
0U, // t2SUBspImm
0U, // t2SUBspImm12
234881024U, // t2SXTAB
234881024U, // t2SXTAB16
234881024U, // t2SXTAH
196608U, // t2SXTB
196608U, // t2SXTB16
196608U, // t2SXTH
0U, // t2TBB
1U, // t2TBH
16384U, // t2TEQri
16384U, // t2TEQrr
1024U, // t2TEQrs
1U, // t2TSB
16384U, // t2TSTri
16384U, // t2TSTrr
1024U, // t2TSTrs
16384U, // t2TT
16384U, // t2TTA
16384U, // t2TTAT
16384U, // t2TTT
0U, // t2UADD16
0U, // t2UADD8
0U, // t2UASX
33554432U, // t2UBFX
0U, // t2UDF
0U, // t2UDIV
0U, // t2UHADD16
0U, // t2UHADD8
0U, // t2UHASX
0U, // t2UHSAX
0U, // t2UHSUB16
0U, // t2UHSUB8
33554432U, // t2UMAAL
33554432U, // t2UMLAL
33554432U, // t2UMULL
0U, // t2UQADD16
0U, // t2UQADD8
0U, // t2UQASX
0U, // t2UQSAX
0U, // t2UQSUB16
0U, // t2UQSUB8
0U, // t2USAD8
33554432U, // t2USADA8
268435456U, // t2USAT
0U, // t2USAT16
0U, // t2USAX
0U, // t2USUB16
0U, // t2USUB8
234881024U, // t2UXTAB
234881024U, // t2UXTAB16
234881024U, // t2UXTAH
196608U, // t2UXTB
196608U, // t2UXTB16
196608U, // t2UXTH
17920U, // t2WLS
2U, // tADC
17920U, // tADDhirr
16896U, // tADDi3
2U, // tADDi8
0U, // tADDrSP
19398656U, // tADDrSPi
16896U, // tADDrr
8448U, // tADDspi
17920U, // tADDspr
8576U, // tADR
2U, // tAND
8704U, // tASRri
2U, // tASRrr
2U, // tB
2U, // tBIC
0U, // tBKPT
2U, // tBL
2U, // tBLXNSr
2U, // tBLXi
2U, // tBLXr
2U, // tBX
2U, // tBXNS
2U, // tBcc
2U, // tCBNZ
2U, // tCBZ
16384U, // tCMNz
16384U, // tCMPhir
16384U, // tCMPi8
16384U, // tCMPr
2U, // tCPS
2U, // tEOR
2U, // tHINT
0U, // tHLT
0U, // tInt_WIN_eh_sjlj_longjmp
0U, // tInt_eh_sjlj_longjmp
0U, // tInt_eh_sjlj_setjmp
18432U, // tLDMIA
8832U, // tLDRBi
8960U, // tLDRBr
9088U, // tLDRHi
8960U, // tLDRHr
8960U, // tLDRSB
8960U, // tLDRSH
9216U, // tLDRi
7936U, // tLDRpci
8960U, // tLDRr
9344U, // tLDRspi
16896U, // tLSLri
2U, // tLSLrr
8704U, // tLSRri
2U, // tLSRrr
2U, // tMOVSr
0U, // tMOVi8
16384U, // tMOVr
16896U, // tMUL
0U, // tMVN
2U, // tORR
0U, // tPICADD
0U, // tPOP
0U, // tPUSH
16384U, // tREV
16384U, // tREV16
16384U, // tREVSH
2U, // tROR
0U, // tRSB
2U, // tSBC
0U, // tSETEND
652U, // tSTMIA_UPD
8832U, // tSTRBi
8960U, // tSTRBr
9088U, // tSTRHi
8960U, // tSTRHr
9216U, // tSTRi
8960U, // tSTRr
9344U, // tSTRspi
16896U, // tSUBi3
2U, // tSUBi8
16896U, // tSUBrr
8448U, // tSUBspi
2U, // tSVC
16384U, // tSXTB
16384U, // tSXTH
0U, // tTRAP
16384U, // tTST
0U, // tUDF
16384U, // tUXTB
16384U, // tUXTH
0U, // t__brkdiv0
};
O << "\t";
// Emit the opcode for the instruction.
uint64_t Bits = 0;
Bits |= (uint64_t)OpInfo0[MI->getOpcode()] << 0;
Bits |= (uint64_t)OpInfo1[MI->getOpcode()] << 32;
assert(Bits != 0 && "Cannot print this instruction.");
O << AsmStrs+(Bits & 8191)-1;
// Fragment 0 encoded into 6 bits for 42 unique commands.
switch ((Bits >> 13) & 63) {
default: llvm_unreachable("Invalid command number.");
case 0:
// DBG_VALUE, DBG_LABEL, BUNDLE, LIFETIME_START, LIFETIME_END, FENTRY_CAL...
return;
break;
case 1:
// ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, ADCri, ADCrr, ADDri, A...
printSBitModifierOperand(MI, 5, STI, O);
printPredicateOperand(MI, 3, STI, O);
break;
case 2:
// ITasm, t2IT
printThumbITMask(MI, 1, STI, O);
break;
case 3:
// LDRBT_POST, LDRConstPool, LDRT_POST, STRBT_POST, STRT_POST, t2LDRBpcre...
printPredicateOperand(MI, 2, STI, O);
break;
case 4:
// MVE_VANDIZ0v4i32, MVE_VANDIZ0v8i16, MVE_VANDIZ16v4i32, MVE_VANDIZ24v4i...
printVPTPredicateOperand(MI, 3, STI, O);
break;
case 5:
// RRXi, MOVi, MOVr, MOVr_TC, MVNi, MVNr, t2MOVi, t2MOVr, t2MVNi, t2MVNr,...
printSBitModifierOperand(MI, 4, STI, O);
printPredicateOperand(MI, 2, STI, O);
break;
case 6:
// VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_16, VL...
printPredicateOperand(MI, 4, STI, O);
break;
case 7:
// VLD1LNdWB_register_Asm_16, VLD1LNdWB_register_Asm_32, VLD1LNdWB_regist...
printPredicateOperand(MI, 5, STI, O);
break;
case 8:
// VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPdWB_fixed_Asm_16...
printPredicateOperand(MI, 3, STI, O);
break;
case 9:
// ADCrsi, ADDrsi, ANDrsi, BICrsi, EORrsi, MLA, MOVsr, MVNsr, ORRrsi, RSB...
printSBitModifierOperand(MI, 6, STI, O);
printPredicateOperand(MI, 4, STI, O);
break;
case 10:
// ADCrsr, ADDrsr, ANDrsr, BICrsr, EORrsr, ORRrsr, RSBrsr, RSCrsr, SBCrsr...
printSBitModifierOperand(MI, 7, STI, O);
printPredicateOperand(MI, 5, STI, O);
O << "\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printSORegRegOperand(MI, 2, STI, O);
return;
break;
case 11:
// AESD, AESE, AESIMC, AESMC, BKPT, BL, BLX, BLXi, BX, CPS1p, CRC32B, CRC...
printOperand(MI, 0, STI, O);
break;
case 12:
// BLX_pred, BL_pred, BXJ, BX_pred, Bcc, DBG, FLDMXIA, FSTMXIA, HINT, LDM...
printPredicateOperand(MI, 1, STI, O);
break;
case 13:
// BX_RET, ERET, FMSTAT, MOVPCLR, MVE_LCTP, VSCCLRMD, VSCCLRMS, t2CLREX, ...
printPredicateOperand(MI, 0, STI, O);
break;
case 14:
// CDP, LDRD_POST, LDRD_PRE, MCR, MRC, MVE_SQRSHRL, MVE_UQRSHLL, MVE_VMOV...
printPredicateOperand(MI, 6, STI, O);
break;
case 15:
// CDP2, LDC2L_OFFSET, LDC2L_OPTION, LDC2L_POST, LDC2L_PRE, LDC2_OFFSET, ...
printPImmediate(MI, 0, STI, O);
O << ", ";
break;
case 16:
// CPS2p, CPS3p, t2CPS2p, t2CPS3p, tCPS
printCPSIMod(MI, 0, STI, O);
break;
case 17:
// DMB, DSB
printMemBOption(MI, 0, STI, O);
return;
break;
case 18:
// ISB
printInstSyncBOption(MI, 0, STI, O);
return;
break;
case 19:
// MRC2
printPImmediate(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
O << ", ";
printOperand(MI, 0, STI, O);
O << ", ";
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 20:
// MRRC2
printPImmediate(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
O << ", ";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
return;
break;
case 21:
// MVE_LETP, VSDOTD, VSDOTDI, VSDOTQ, VSDOTQI, VUDOTD, VUDOTDI, VUDOTQ, V...
printOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
break;
case 22:
// MVE_VABAVs16, MVE_VABAVs32, MVE_VABAVs8, MVE_VABAVu16, MVE_VABAVu32, M...
printVPTPredicateOperand(MI, 4, STI, O);
break;
case 23:
// MVE_VABSf16, MVE_VABSf32, MVE_VABSs16, MVE_VABSs32, MVE_VABSs8, MVE_VA...
printVPTPredicateOperand(MI, 2, STI, O);
break;
case 24:
// MVE_VADC, MVE_VADDLVs32acc, MVE_VADDLVu32acc, MVE_VCMLAf16, MVE_VCMLAf...
printVPTPredicateOperand(MI, 5, STI, O);
break;
case 25:
// MVE_VLD20_16, MVE_VLD20_16_wb, MVE_VLD20_32, MVE_VLD20_32_wb, MVE_VLD2...
printMVEVectorList<2>(MI, 0, STI, O);
O << ", ";
break;
case 26:
// MVE_VLD40_16, MVE_VLD40_16_wb, MVE_VLD40_32, MVE_VLD40_32_wb, MVE_VLD4...
printMVEVectorList<4>(MI, 0, STI, O);
O << ", ";
break;
case 27:
// MVE_VMLALDAVas16, MVE_VMLALDAVas32, MVE_VMLALDAVau16, MVE_VMLALDAVau32...
printVPTPredicateOperand(MI, 6, STI, O);
break;
case 28:
// MVE_VPST, MVE_VPTv16i8, MVE_VPTv16i8r, MVE_VPTv16s8, MVE_VPTv16s8r, MV...
printVPTMask(MI, 0, STI, O);
break;
case 29:
// MVE_VST20_16_wb, MVE_VST20_32_wb, MVE_VST20_8_wb, MVE_VST21_16_wb, MVE...
printMVEVectorList<2>(MI, 1, STI, O);
O << ", ";
printAddrMode7Operand(MI, 2, STI, O);
O << '!';
return;
break;
case 30:
// MVE_VST40_16_wb, MVE_VST40_32_wb, MVE_VST40_8_wb, MVE_VST41_16_wb, MVE...
printMVEVectorList<4>(MI, 1, STI, O);
O << ", ";
printAddrMode7Operand(MI, 2, STI, O);
O << '!';
return;
break;
case 31:
// PLDWi12, PLDi12, PLIi12
printAddrModeImm12Operand<false>(MI, 0, STI, O);
return;
break;
case 32:
// PLDWrs, PLDrs, PLIrs
printAddrMode2Operand(MI, 0, STI, O);
return;
break;
case 33:
// SETEND, tSETEND
printSetendOperand(MI, 0, STI, O);
return;
break;
case 34:
// SMLAL, UMLAL
printSBitModifierOperand(MI, 8, STI, O);
printPredicateOperand(MI, 6, STI, O);
O << "\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 35:
// TSB
printTraceSyncBOption(MI, 0, STI, O);
return;
break;
case 36:
// VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD, VLD2LNd16, VLD2LNd32, VLD2...
printPredicateOperand(MI, 7, STI, O);
break;
case 37:
// VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
printPredicateOperand(MI, 9, STI, O);
break;
case 38:
// VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
printPredicateOperand(MI, 11, STI, O);
break;
case 39:
// VLD4DUPd16_UPD, VLD4DUPd32_UPD, VLD4DUPd8_UPD, VLD4DUPq16_UPD, VLD4DUP...
printPredicateOperand(MI, 8, STI, O);
break;
case 40:
// VLD4LNd16_UPD, VLD4LNd32_UPD, VLD4LNd8_UPD, VLD4LNq16_UPD, VLD4LNq32_U...
printPredicateOperand(MI, 13, STI, O);
break;
case 41:
// tADC, tADDi3, tADDi8, tADDrr, tAND, tASRri, tASRrr, tBIC, tEOR, tLSLri...
printSBitModifierOperand(MI, 1, STI, O);
break;
}
// Fragment 1 encoded into 7 bits for 83 unique commands.
switch ((Bits >> 19) & 127) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, ITasm, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LS...
O << ' ';
break;
case 1:
// MVE_VANDIZ0v4i32, MVE_VANDIZ16v4i32, MVE_VANDIZ24v4i32, MVE_VANDIZ8v4i...
O << ".i32\t";
break;
case 2:
// MVE_VANDIZ0v8i16, MVE_VANDIZ8v8i16, MVE_VORNIZ0v8i16, MVE_VORNIZ8v8i16...
O << ".i16\t";
break;
case 3:
// VLD1LNdAsm_16, VLD1LNdWB_fixed_Asm_16, VLD1LNdWB_register_Asm_16, VLD2...
O << ".16\t";
break;
case 4:
// VLD1LNdAsm_32, VLD1LNdWB_fixed_Asm_32, VLD1LNdWB_register_Asm_32, VLD2...
O << ".32\t";
break;
case 5:
// VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_8, VLD1LNdWB_register_Asm_8, VLD2LNd...
O << ".8\t";
break;
case 6:
// ADCri, ADCrr, ADCrsi, ADDri, ADDrr, ADDrsi, ADR, ANDri, ANDrr, ANDrsi,...
O << "\t";
break;
case 7:
// AESD, AESE, AESIMC, AESMC, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, ...
O << ", ";
break;
case 8:
// BKPT, BL, BLX, BLXi, BX, CPS1p, ERET, HLT, HVC, MVE_LETP, RFEDA, RFEDB...
return;
break;
case 9:
// BX_RET
O << "\tlr";
return;
break;
case 10:
// CDP2, MCR2, MCRR2
printOperand(MI, 1, STI, O);
O << ", ";
break;
case 11:
// FCONSTD, VABSD, VADDD, VCMPD, VCMPED, VCMPEZD, VCMPZD, VDIVD, VFMAD, V...
O << ".f64\t";
printOperand(MI, 0, STI, O);
break;
case 12:
// FCONSTH, MVE_VABDf16, MVE_VABSf16, MVE_VADD_qr_f16, MVE_VADDf16, MVE_V...
O << ".f16\t";
break;
case 13:
// FCONSTS, MVE_VABDf32, MVE_VABSf32, MVE_VADD_qr_f32, MVE_VADDf32, MVE_V...
O << ".f32\t";
break;
case 14:
// FMSTAT
O << "\tAPSR_nzcv, fpscr";
return;
break;
case 15:
// LDC2L_OFFSET, LDC2L_OPTION, LDC2L_POST, LDC2L_PRE, LDC2_OFFSET, LDC2_O...
printCImmediate(MI, 1, STI, O);
O << ", ";
break;
case 16:
// MOVPCLR
O << "\tpc, lr";
return;
break;
case 17:
// MVE_VABAVs16, MVE_VABDs16, MVE_VABSs16, MVE_VADDVs16acc, MVE_VADDVs16n...
O << ".s16\t";
break;
case 18:
// MVE_VABAVs32, MVE_VABDs32, MVE_VABSs32, MVE_VADDLVs32acc, MVE_VADDLVs3...
O << ".s32\t";
break;
case 19:
// MVE_VABAVs8, MVE_VABDs8, MVE_VABSs8, MVE_VADDVs8acc, MVE_VADDVs8no_acc...
O << ".s8\t";
break;
case 20:
// MVE_VABAVu16, MVE_VABDu16, MVE_VADDVu16acc, MVE_VADDVu16no_acc, MVE_VC...
O << ".u16\t";
break;
case 21:
// MVE_VABAVu32, MVE_VABDu32, MVE_VADDLVu32acc, MVE_VADDLVu32no_acc, MVE_...
O << ".u32\t";
break;
case 22:
// MVE_VABAVu8, MVE_VABDu8, MVE_VADDVu8acc, MVE_VADDVu8no_acc, MVE_VCMPu8...
O << ".u8\t";
break;
case 23:
// MVE_VADD_qr_i8, MVE_VADDi8, MVE_VCADDi8, MVE_VCLZs8, MVE_VCMPi8, MVE_V...
O << ".i8\t";
break;
case 24:
// MVE_VCTP64, MVE_VSTRD64_qi, MVE_VSTRD64_qi_pre, MVE_VSTRD64_rq, MVE_VS...
O << ".64\t";
break;
case 25:
// MVE_VCVTf16f32bh, MVE_VCVTf16f32th, VCVTBSH, VCVTTSH, VCVTf2h
O << ".f16.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
break;
case 26:
// MVE_VCVTf16s16_fix, MVE_VCVTf16s16n, VCVTs2hd, VCVTs2hq, VCVTxs2hd, VC...
O << ".f16.s16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 27:
// MVE_VCVTf16u16_fix, MVE_VCVTf16u16n, VCVTu2hd, VCVTu2hq, VCVTxu2hd, VC...
O << ".f16.u16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 28:
// MVE_VCVTf32f16bh, MVE_VCVTf32f16th, VCVTBHS, VCVTTHS, VCVTh2f
O << ".f32.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
break;
case 29:
// MVE_VCVTf32s32_fix, MVE_VCVTf32s32n, VCVTs2fd, VCVTs2fq, VCVTxs2fd, VC...
O << ".f32.s32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 30:
// MVE_VCVTf32u32_fix, MVE_VCVTf32u32n, VCVTu2fd, VCVTu2fq, VCVTxu2fd, VC...
O << ".f32.u32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 31:
// MVE_VCVTs16f16_fix, MVE_VCVTs16f16a, MVE_VCVTs16f16m, MVE_VCVTs16f16n,...
O << ".s16.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 32:
// MVE_VCVTs32f32_fix, MVE_VCVTs32f32a, MVE_VCVTs32f32m, MVE_VCVTs32f32n,...
O << ".s32.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 33:
// MVE_VCVTu16f16_fix, MVE_VCVTu16f16a, MVE_VCVTu16f16m, MVE_VCVTu16f16n,...
O << ".u16.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 34:
// MVE_VCVTu32f32_fix, MVE_VCVTu32f32a, MVE_VCVTu32f32m, MVE_VCVTu32f32n,...
O << ".u32.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 35:
// MVE_VLD20_16, MVE_VLD20_32, MVE_VLD20_8, MVE_VLD21_16, MVE_VLD21_32, M...
printAddrMode7Operand(MI, 2, STI, O);
return;
break;
case 36:
// MVE_VLD20_16_wb, MVE_VLD20_32_wb, MVE_VLD20_8_wb, MVE_VLD21_16_wb, MVE...
printAddrMode7Operand(MI, 3, STI, O);
O << '!';
return;
break;
case 37:
// MVE_VLDRDU64_qi, MVE_VLDRDU64_qi_pre, MVE_VLDRDU64_rq, MVE_VLDRDU64_rq...
O << ".u64\t";
break;
case 38:
// MVE_VMOVimmi64, VADDHNv2i32, VADDv1i64, VADDv2i64, VMOVNv2i32, VMOVv1i...
O << ".i64\t";
printOperand(MI, 0, STI, O);
O << ", ";
break;
case 39:
// MVE_VMULLBp16, MVE_VMULLTp16
O << ".p16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 40:
// MVE_VMULLBp8, MVE_VMULLTp8, VMULLp8, VMULpd, VMULpq
O << ".p8\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 41:
// MVE_VST20_16, MVE_VST20_32, MVE_VST20_8, MVE_VST21_16, MVE_VST21_32, M...
printAddrMode7Operand(MI, 1, STI, O);
return;
break;
case 42:
// RFEDA_UPD, RFEDB_UPD, RFEIA_UPD, RFEIB_UPD
O << '!';
return;
break;
case 43:
// VCVTBDH, VCVTTDH
O << ".f16.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
return;
break;
case 44:
// VCVTBHD, VCVTTHD
O << ".f64.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
return;
break;
case 45:
// VCVTDS
O << ".f64.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
return;
break;
case 46:
// VCVTSD
O << ".f32.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
return;
break;
case 47:
// VJCVT, VTOSIRD, VTOSIZD, VTOSLD
O << ".s32.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 48:
// VLD1LNd16, VLD1LNd16_UPD, VLD2LNd16, VLD2LNd16_UPD, VLD2LNq16, VLD2LNq...
O << ".16\t{";
break;
case 49:
// VLD1LNd32, VLD1LNd32_UPD, VLD2LNd32, VLD2LNd32_UPD, VLD2LNq32, VLD2LNq...
O << ".32\t{";
break;
case 50:
// VLD1LNd8, VLD1LNd8_UPD, VLD2LNd8, VLD2LNd8_UPD, VLD3DUPd8, VLD3DUPd8_U...
O << ".8\t{";
break;
case 51:
// VLDR_FPCXTNS_off, VLDR_FPCXTNS_post, VLDR_FPCXTNS_pre, VMSR_FPCXTNS, V...
O << "\tfpcxtns, ";
break;
case 52:
// VLDR_FPCXTS_off, VLDR_FPCXTS_post, VLDR_FPCXTS_pre, VMSR_FPCXTS, VSTR_...
O << "\tfpcxts, ";
break;
case 53:
// VLDR_FPSCR_NZCVQC_off, VLDR_FPSCR_NZCVQC_post, VLDR_FPSCR_NZCVQC_pre, ...
O << "\tfpscr_nzcvqc, ";
break;
case 54:
// VLDR_FPSCR_off, VLDR_FPSCR_post, VLDR_FPSCR_pre, VMSR, VSTR_FPSCR_off,...
O << "\tfpscr, ";
break;
case 55:
// VLDR_P0_off, VLDR_P0_post, VLDR_P0_pre, VMSR_P0, VSTR_P0_off, VSTR_P0_...
O << "\tp0, ";
break;
case 56:
// VLDR_VPR_off, VLDR_VPR_post, VLDR_VPR_pre, VMSR_VPR, VSTR_VPR_off, VST...
O << "\tvpr, ";
break;
case 57:
// VMSR_FPEXC
O << "\tfpexc, ";
printOperand(MI, 0, STI, O);
return;
break;
case 58:
// VMSR_FPINST
O << "\tfpinst, ";
printOperand(MI, 0, STI, O);
return;
break;
case 59:
// VMSR_FPINST2
O << "\tfpinst2, ";
printOperand(MI, 0, STI, O);
return;
break;
case 60:
// VMSR_FPSID
O << "\tfpsid, ";
printOperand(MI, 0, STI, O);
return;
break;
case 61:
// VQADDsv1i64, VQADDsv2i64, VQMOVNsuv2i32, VQMOVNsv2i32, VQRSHLsv1i64, V...
O << ".s64\t";
printOperand(MI, 0, STI, O);
O << ", ";
break;
case 62:
// VSHTOD
O << ".f64.s16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 63:
// VSHTOS
O << ".f32.s16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 64:
// VSITOD, VSLTOD
O << ".f64.s32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 65:
// VSITOH, VSLTOH
O << ".f16.s32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 66:
// VTOSHD
O << ".s16.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 67:
// VTOSHS
O << ".s16.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 68:
// VTOSIRH, VTOSIZH, VTOSLH
O << ".s32.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 69:
// VTOUHD
O << ".u16.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 70:
// VTOUHS
O << ".u16.f32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 71:
// VTOUIRD, VTOUIZD, VTOULD
O << ".u32.f64\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 72:
// VTOUIRH, VTOUIZH, VTOULH
O << ".u32.f16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 73:
// VUHTOD
O << ".f64.u16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 74:
// VUHTOS
O << ".f32.u16\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printFBits16(MI, 2, STI, O);
return;
break;
case 75:
// VUITOD, VULTOD
O << ".f64.u32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 76:
// VUITOH, VULTOH
O << ".f16.u32\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
break;
case 77:
// t2ADCrr, t2ADCrs, t2ADDri, t2ADDrr, t2ADDrs, t2ADDspImm, t2ADR, t2ANDr...
O << ".w\t";
break;
case 78:
// t2SRSDB, t2SRSIA
O << "\tsp, ";
printOperand(MI, 0, STI, O);
return;
break;
case 79:
// t2SRSDB_UPD, t2SRSIA_UPD
O << "\tsp!, ";
printOperand(MI, 0, STI, O);
return;
break;
case 80:
// t2SUBS_PC_LR
O << "\tpc, lr, ";
printOperand(MI, 0, STI, O);
return;
break;
case 81:
// tADC, tADDi3, tADDi8, tADDrr, tAND, tASRri, tASRrr, tBIC, tEOR, tLSLri...
printPredicateOperand(MI, 4, STI, O);
O << "\t";
printOperand(MI, 0, STI, O);
O << ", ";
break;
case 82:
// tMOVi8, tMVN, tRSB
printPredicateOperand(MI, 3, STI, O);
O << "\t";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
break;
}
// Fragment 2 encoded into 7 bits for 66 unique commands.
switch ((Bits >> 26) & 127) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LSRi, LSR...
printOperand(MI, 0, STI, O);
break;
case 1:
// ITasm, t2IT
printMandatoryPredicateOperand(MI, 0, STI, O);
return;
break;
case 2:
// VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPdWB_fixed_Asm_16...
printVectorListThreeAllLanes(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 3:
// VLD3DUPqAsm_16, VLD3DUPqAsm_32, VLD3DUPqAsm_8, VLD3DUPqWB_fixed_Asm_16...
printVectorListThreeSpacedAllLanes(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 4:
// VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD3dWB_fixed_Asm_16, VLD3dWB_fi...
printVectorListThree(MI, 0, STI, O);
O << ", ";
break;
case 5:
// VLD3qAsm_16, VLD3qAsm_32, VLD3qAsm_8, VLD3qWB_fixed_Asm_16, VLD3qWB_fi...
printVectorListThreeSpaced(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 6:
// VLD4DUPdAsm_16, VLD4DUPdAsm_32, VLD4DUPdAsm_8, VLD4DUPdWB_fixed_Asm_16...
printVectorListFourAllLanes(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 7:
// VLD4DUPqAsm_16, VLD4DUPqAsm_32, VLD4DUPqAsm_8, VLD4DUPqWB_fixed_Asm_16...
printVectorListFourSpacedAllLanes(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 8:
// VLD4dAsm_16, VLD4dAsm_32, VLD4dAsm_8, VLD4dWB_fixed_Asm_16, VLD4dWB_fi...
printVectorListFour(MI, 0, STI, O);
O << ", ";
break;
case 9:
// VLD4qAsm_16, VLD4qAsm_32, VLD4qAsm_8, VLD4qWB_fixed_Asm_16, VLD4qWB_fi...
printVectorListFourSpaced(MI, 0, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
break;
case 10:
// AESD, AESE, MCR2, MCRR2, MVE_VCVTf16f32bh, MVE_VCVTf16f32th, MVE_VCVTf...
printOperand(MI, 2, STI, O);
break;
case 11:
// AESIMC, AESMC, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, CRC32W, FLDM...
printOperand(MI, 1, STI, O);
break;
case 12:
// CDP, LDCL_OFFSET, LDCL_OPTION, LDCL_POST, LDCL_PRE, LDC_OFFSET, LDC_OP...
printPImmediate(MI, 0, STI, O);
O << ", ";
break;
case 13:
// CDP2
printCImmediate(MI, 2, STI, O);
O << ", ";
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 14:
// CPS2p, CPS3p, t2CPS2p, t2CPS3p, tCPS
printCPSIFlag(MI, 1, STI, O);
break;
case 15:
// FCONSTD, MVE_VCVTf16s16_fix, MVE_VCVTf16u16_fix, MVE_VCVTf32s32_fix, M...
O << ", ";
break;
case 16:
// LDAEXD, LDREXD
printGPRPairOperand(MI, 0, STI, O);
O << ", ";
printAddrMode7Operand(MI, 1, STI, O);
return;
break;
case 17:
// LDC2L_OFFSET, LDC2_OFFSET, STC2L_OFFSET, STC2_OFFSET
printAddrMode5Operand<false>(MI, 2, STI, O);
return;
break;
case 18:
// LDC2L_OPTION, LDC2L_POST, LDC2_OPTION, LDC2_POST, STC2L_OPTION, STC2L_...
printAddrMode7Operand(MI, 2, STI, O);
break;
case 19:
// LDC2L_PRE, LDC2_PRE, STC2L_PRE, STC2_PRE
printAddrMode5Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 20:
// MRC, t2MRC, t2MRC2
printPImmediate(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
O << ", ";
printOperand(MI, 0, STI, O);
O << ", ";
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 21:
// MRRC, t2MRRC, t2MRRC2
printPImmediate(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
O << ", ";
printOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
return;
break;
case 22:
// MSR, MSRi, t2MSR_AR, t2MSR_M
printMSRMaskOperand(MI, 0, STI, O);
O << ", ";
break;
case 23:
// MSRbanked, t2MSRbanked
printBankedRegOperand(MI, 0, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
return;
break;
case 24:
// MVE_LCTP, MVE_VCVTf16s16n, MVE_VCVTf16u16n, MVE_VCVTf32s32n, MVE_VCVTf...
return;
break;
case 25:
// MVE_VCMPf16, MVE_VCMPf16r, MVE_VCMPf32, MVE_VCMPf32r, MVE_VCMPi16, MVE...
printMandatoryRestrictedPredicateOperand(MI, 3, STI, O);
O << ", ";
printOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 26:
// MVE_VMOVimmi64, VMOVv1i64, VMOVv2i64
printVMOVModImmOperand(MI, 1, STI, O);
return;
break;
case 27:
// VCMPEZD, VCMPZD, tRSB
O << ", #0";
return;
break;
case 28:
// VLD1DUPd16, VLD1DUPd16wb_fixed, VLD1DUPd16wb_register, VLD1DUPd32, VLD...
printVectorListOneAllLanes(MI, 0, STI, O);
O << ", ";
break;
case 29:
// VLD1DUPq16, VLD1DUPq16wb_fixed, VLD1DUPq16wb_register, VLD1DUPq32, VLD...
printVectorListTwoAllLanes(MI, 0, STI, O);
O << ", ";
break;
case 30:
// VLD1d16, VLD1d16wb_fixed, VLD1d16wb_register, VLD1d32, VLD1d32wb_fixed...
printVectorListOne(MI, 0, STI, O);
O << ", ";
break;
case 31:
// VLD1q16, VLD1q16wb_fixed, VLD1q16wb_register, VLD1q32, VLD1q32wb_fixed...
printVectorListTwo(MI, 0, STI, O);
O << ", ";
break;
case 32:
// VLD2DUPd16x2, VLD2DUPd16x2wb_fixed, VLD2DUPd16x2wb_register, VLD2DUPd3...
printVectorListTwoSpacedAllLanes(MI, 0, STI, O);
O << ", ";
break;
case 33:
// VLD2b16, VLD2b16wb_fixed, VLD2b16wb_register, VLD2b32, VLD2b32wb_fixed...
printVectorListTwoSpaced(MI, 0, STI, O);
O << ", ";
break;
case 34:
// VLDR_FPCXTNS_off, VLDR_FPCXTS_off, VLDR_FPSCR_NZCVQC_off, VLDR_FPSCR_o...
printT2AddrModeImm8s4Operand<false>(MI, 0, STI, O);
return;
break;
case 35:
// VLDR_FPCXTNS_post, VLDR_FPCXTS_post, VLDR_FPSCR_NZCVQC_post, VLDR_FPSC...
printAddrMode7Operand(MI, 1, STI, O);
printT2AddrModeImm8s4OffsetOperand(MI, 2, STI, O);
return;
break;
case 36:
// VLDR_FPCXTNS_pre, VLDR_FPCXTS_pre, VLDR_FPSCR_NZCVQC_pre, VLDR_FPSCR_p...
printT2AddrModeImm8s4Operand<true>(MI, 1, STI, O);
O << '!';
return;
break;
case 37:
// VLDR_P0_off, VSTR_P0_off
printT2AddrModeImm8s4Operand<false>(MI, 1, STI, O);
return;
break;
case 38:
// VLDR_P0_pre, VSTR_P0_pre
printT2AddrModeImm8s4Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 39:
// VSCCLRMD, VSCCLRMS, t2CLRM, tPOP, tPUSH
printRegisterList(MI, 2, STI, O);
return;
break;
case 40:
// VSDOTD, VSDOTDI, VSDOTQ, VSDOTQI, VUDOTD, VUDOTDI, VUDOTQ, VUDOTQI, tA...
printOperand(MI, 3, STI, O);
break;
case 41:
// VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD, VST2LNd16_UPD, VST2LNd32_U...
printOperand(MI, 4, STI, O);
break;
case 42:
// VST1d16, VST1d32, VST1d64, VST1d8
printVectorListOne(MI, 2, STI, O);
O << ", ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 43:
// VST1d16Q, VST1d32Q, VST1d64Q, VST1d8Q, VST2q16, VST2q32, VST2q8
printVectorListFour(MI, 2, STI, O);
O << ", ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 44:
// VST1d16Qwb_fixed, VST1d32Qwb_fixed, VST1d64Qwb_fixed, VST1d8Qwb_fixed,...
printVectorListFour(MI, 3, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << '!';
return;
break;
case 45:
// VST1d16Qwb_register, VST1d32Qwb_register, VST1d64Qwb_register, VST1d8Q...
printVectorListFour(MI, 4, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 46:
// VST1d16T, VST1d32T, VST1d64T, VST1d8T
printVectorListThree(MI, 2, STI, O);
O << ", ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 47:
// VST1d16Twb_fixed, VST1d32Twb_fixed, VST1d64Twb_fixed, VST1d8Twb_fixed
printVectorListThree(MI, 3, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << '!';
return;
break;
case 48:
// VST1d16Twb_register, VST1d32Twb_register, VST1d64Twb_register, VST1d8T...
printVectorListThree(MI, 4, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 49:
// VST1d16wb_fixed, VST1d32wb_fixed, VST1d64wb_fixed, VST1d8wb_fixed
printVectorListOne(MI, 3, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << '!';
return;
break;
case 50:
// VST1d16wb_register, VST1d32wb_register, VST1d64wb_register, VST1d8wb_r...
printVectorListOne(MI, 4, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 51:
// VST1q16, VST1q32, VST1q64, VST1q8, VST2d16, VST2d32, VST2d8
printVectorListTwo(MI, 2, STI, O);
O << ", ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 52:
// VST1q16wb_fixed, VST1q32wb_fixed, VST1q64wb_fixed, VST1q8wb_fixed, VST...
printVectorListTwo(MI, 3, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << '!';
return;
break;
case 53:
// VST1q16wb_register, VST1q32wb_register, VST1q64wb_register, VST1q8wb_r...
printVectorListTwo(MI, 4, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 54:
// VST2b16, VST2b32, VST2b8
printVectorListTwoSpaced(MI, 2, STI, O);
O << ", ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 55:
// VST2b16wb_fixed, VST2b32wb_fixed, VST2b8wb_fixed
printVectorListTwoSpaced(MI, 3, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << '!';
return;
break;
case 56:
// VST2b16wb_register, VST2b32wb_register, VST2b8wb_register
printVectorListTwoSpaced(MI, 4, STI, O);
O << ", ";
printAddrMode6Operand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 57:
// t2DMB, t2DSB
printMemBOption(MI, 0, STI, O);
return;
break;
case 58:
// t2ISB
printInstSyncBOption(MI, 0, STI, O);
return;
break;
case 59:
// t2PLDWi12, t2PLDi12, t2PLIi12
printAddrModeImm12Operand<false>(MI, 0, STI, O);
return;
break;
case 60:
// t2PLDWi8, t2PLDi8, t2PLIi8
printT2AddrModeImm8Operand<false>(MI, 0, STI, O);
return;
break;
case 61:
// t2PLDWs, t2PLDs, t2PLIs
printT2AddrModeSoRegOperand(MI, 0, STI, O);
return;
break;
case 62:
// t2PLDpci, t2PLIpci
printThumbLdrLabelOperand(MI, 0, STI, O);
return;
break;
case 63:
// t2TBB
printAddrModeTBB(MI, 0, STI, O);
return;
break;
case 64:
// t2TBH
printAddrModeTBH(MI, 0, STI, O);
return;
break;
case 65:
// t2TSB
printTraceSyncBOption(MI, 0, STI, O);
return;
break;
}
// Fragment 3 encoded into 6 bits for 34 unique commands.
switch ((Bits >> 33) & 63) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LSRi, LSR...
O << ", ";
break;
case 1:
// VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPqAsm_16, VLD3DUP...
return;
break;
case 2:
// VLD3DUPdWB_fixed_Asm_16, VLD3DUPdWB_fixed_Asm_32, VLD3DUPdWB_fixed_Asm...
O << '!';
return;
break;
case 3:
// VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD3dWB_fixed_Asm_16, VLD3dWB_fi...
printAddrMode6Operand(MI, 1, STI, O);
break;
case 4:
// CDP, MCR, MCRR, MSR, VABSD, VADDD, VCMPD, VCMPED, VDIVD, VMOVD, VMULD,...
printOperand(MI, 1, STI, O);
break;
case 5:
// FCONSTD
printFPImmOperand(MI, 1, STI, O);
return;
break;
case 6:
// FLDMXDB_UPD, FLDMXIA_UPD, FSTMXDB_UPD, FSTMXIA_UPD, LDMDA_UPD, LDMDB_U...
O << "!, ";
printRegisterList(MI, 4, STI, O);
break;
case 7:
// LDCL_OFFSET, LDCL_OPTION, LDCL_POST, LDCL_PRE, LDC_OFFSET, LDC_OPTION,...
printCImmediate(MI, 1, STI, O);
O << ", ";
break;
case 8:
// MRS, t2MRS_AR
O << ", apsr";
return;
break;
case 9:
// MRSsys, t2MRSsys_AR
O << ", spsr";
return;
break;
case 10:
// MSRi
printModImmOperand(MI, 1, STI, O);
return;
break;
case 11:
// MVE_VCVTf16s16_fix, MVE_VCVTf16u16_fix, MVE_VCVTf32s32_fix, MVE_VCVTf3...
printOperand(MI, 2, STI, O);
break;
case 12:
// MVE_VMOV_q_rr, VSDOTDI, VSDOTQI, VUDOTDI, VUDOTQI
printVectorIndex(MI, 4, STI, O);
break;
case 13:
// MVE_VMOV_to_lane_16, MVE_VMOV_to_lane_32, MVE_VMOV_to_lane_8, VSETLNi1...
printVectorIndex(MI, 3, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 14:
// VCMPEZH, VCMPEZS, VCMPZH, VCMPZS
O << ", #0";
return;
break;
case 15:
// VLD1DUPd16wb_fixed, VLD1DUPd16wb_register, VLD1DUPd32wb_fixed, VLD1DUP...
printAddrMode6Operand(MI, 2, STI, O);
break;
case 16:
// VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
O << '[';
break;
case 17:
// VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
O << "[], ";
printOperand(MI, 1, STI, O);
O << "[], ";
printOperand(MI, 2, STI, O);
break;
case 18:
// VLDR_P0_post, VSTR_P0_post
printT2AddrModeImm8s4OffsetOperand(MI, 3, STI, O);
return;
break;
case 19:
// VMRS
O << ", fpscr";
return;
break;
case 20:
// VMRS_FPCXTNS
O << ", fpcxtns";
return;
break;
case 21:
// VMRS_FPCXTS
O << ", fpcxts";
return;
break;
case 22:
// VMRS_FPEXC
O << ", fpexc";
return;
break;
case 23:
// VMRS_FPINST
O << ", fpinst";
return;
break;
case 24:
// VMRS_FPINST2
O << ", fpinst2";
return;
break;
case 25:
// VMRS_FPSCR_NZCVQC
O << ", fpscr_nzcvqc";
return;
break;
case 26:
// VMRS_FPSID
O << ", fpsid";
return;
break;
case 27:
// VMRS_MVFR0
O << ", mvfr0";
return;
break;
case 28:
// VMRS_MVFR1
O << ", mvfr1";
return;
break;
case 29:
// VMRS_MVFR2
O << ", mvfr2";
return;
break;
case 30:
// VMRS_P0
O << ", p0";
return;
break;
case 31:
// VMRS_VPR
O << ", vpr";
return;
break;
case 32:
// VSHTOH, VTOSHH, VTOUHH, VUHTOH
printFBits16(MI, 2, STI, O);
return;
break;
case 33:
// VSLTOD, VSLTOH, VSLTOS, VTOSLD, VTOSLH, VTOSLS, VTOULD, VTOULH, VTOULS...
printFBits32(MI, 2, STI, O);
return;
break;
}
// Fragment 4 encoded into 7 bits for 74 unique commands.
switch ((Bits >> 39) & 127) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LDRConstPool, LSLi, LSLr, LSRi, LSRr, RORi, RORr, RRXi, t2...
printOperand(MI, 1, STI, O);
break;
case 1:
// LDRBT_POST, LDRT_POST, STRBT_POST, STRT_POST, LDA, LDAB, LDAEX, LDAEXB...
printAddrMode7Operand(MI, 1, STI, O);
return;
break;
case 2:
// MVE_VANDIZ0v4i32, MVE_VANDIZ0v8i16, MVE_VANDIZ16v4i32, MVE_VANDIZ24v4i...
printExpandedImmOperand(MI, 2, STI, O);
return;
break;
case 3:
// VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_16, VL...
printAddrMode6Operand(MI, 2, STI, O);
break;
case 4:
// VLD3DUPdWB_register_Asm_16, VLD3DUPdWB_register_Asm_32, VLD3DUPdWB_reg...
printOperand(MI, 3, STI, O);
break;
case 5:
// VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD4dAsm_16, VLD4dAsm_32, VLD4dA...
return;
break;
case 6:
// VLD3dWB_fixed_Asm_16, VLD3dWB_fixed_Asm_32, VLD3dWB_fixed_Asm_8, VLD4d...
O << '!';
return;
break;
case 7:
// VLD3dWB_register_Asm_16, VLD3dWB_register_Asm_32, VLD3dWB_register_Asm...
O << ", ";
break;
case 8:
// t2MOVSsi, t2MOVsi, t2CMNzrs, t2CMPrs, t2MVNs, t2TEQrs, t2TSTrs
printT2SOOperand(MI, 1, STI, O);
return;
break;
case 9:
// t2MOVSsr, t2MOVsr, CMNzrsr, CMPrsr, MOVsr, MVNsr, TEQrsr, TSTrsr
printSORegRegOperand(MI, 1, STI, O);
return;
break;
case 10:
// ADR, t2ADR
printAdrLabelOperand<0>(MI, 1, STI, O);
return;
break;
case 11:
// BFC, t2BFC
printBitfieldInvMaskImmOperand(MI, 2, STI, O);
return;
break;
case 12:
// BFI, CPS3p, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, CRC32W, MOVTi16...
printOperand(MI, 2, STI, O);
break;
case 13:
// CMNri, CMPri, MOVi, MVNi, TEQri, TSTri
printModImmOperand(MI, 1, STI, O);
return;
break;
case 14:
// CMNzrsi, CMPrsi, MOVsi, MVNsi, TEQrsi, TSTrsi
printSORegImmOperand(MI, 1, STI, O);
return;
break;
case 15:
// FCONSTH, FCONSTS, MVE_VMOVimmf32, VMOVv2f32, VMOVv4f32
printFPImmOperand(MI, 1, STI, O);
return;
break;
case 16:
// FLDMXIA, FSTMXIA, LDMDA, LDMDB, LDMIA, LDMIB, STMDA, STMDB, STMIA, STM...
printRegisterList(MI, 3, STI, O);
break;
case 17:
// LDC2L_OPTION, LDC2_OPTION, STC2L_OPTION, STC2_OPTION
printCoprocOptionImm(MI, 3, STI, O);
return;
break;
case 18:
// LDC2L_POST, LDC2_POST, STC2L_POST, STC2_POST
printPostIdxImm8s4Operand(MI, 3, STI, O);
return;
break;
case 19:
// LDCL_OFFSET, LDC_OFFSET, STCL_OFFSET, STC_OFFSET, t2LDC2L_OFFSET, t2LD...
printAddrMode5Operand<false>(MI, 2, STI, O);
return;
break;
case 20:
// LDCL_OPTION, LDCL_POST, LDC_OPTION, LDC_POST, LDRBT_POST_IMM, LDRBT_PO...
printAddrMode7Operand(MI, 2, STI, O);
break;
case 21:
// LDCL_PRE, LDC_PRE, STCL_PRE, STC_PRE, t2LDC2L_PRE, t2LDC2_PRE, t2LDCL_...
printAddrMode5Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 22:
// LDRB_PRE_IMM, LDR_PRE_IMM, STRB_PRE_IMM, STR_PRE_IMM
printAddrModeImm12Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 23:
// LDRB_PRE_REG, LDR_PRE_REG, STRB_PRE_REG, STR_PRE_REG
printAddrMode2Operand(MI, 2, STI, O);
O << '!';
return;
break;
case 24:
// LDRBi12, LDRcp, LDRi12, STRBi12, STRi12, t2LDRBi12, t2LDRHi12, t2LDRSB...
printAddrModeImm12Operand<false>(MI, 1, STI, O);
return;
break;
case 25:
// LDRBrs, LDRrs, STRBrs, STRrs
printAddrMode2Operand(MI, 1, STI, O);
return;
break;
case 26:
// LDRH, LDRSB, LDRSH, STRH
printAddrMode3Operand<false>(MI, 1, STI, O);
return;
break;
case 27:
// LDRH_PRE, LDRSB_PRE, LDRSH_PRE, STRH_PRE
printAddrMode3Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 28:
// MCR2
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 29:
// MRSbanked, t2MRSbanked
printBankedRegOperand(MI, 1, STI, O);
return;
break;
case 30:
// MVE_VLDRBS16, MVE_VLDRBS32, MVE_VLDRBU16, MVE_VLDRBU32, MVE_VLDRBU8, M...
printT2AddrModeImm8Operand<false>(MI, 1, STI, O);
return;
break;
case 31:
// MVE_VLDRBS16_pre, MVE_VLDRBS32_pre, MVE_VLDRBU16_pre, MVE_VLDRBU32_pre...
printT2AddrModeImm8Operand<false>(MI, 2, STI, O);
O << '!';
return;
break;
case 32:
// MVE_VLDRBS16_rq, MVE_VLDRBS32_rq, MVE_VLDRBU16_rq, MVE_VLDRBU32_rq, MV...
printMveAddrModeRQOperand<0>(MI, 1, STI, O);
return;
break;
case 33:
// MVE_VLDRBU8_pre, MVE_VLDRHU16_pre, MVE_VLDRWU32_pre, MVE_VSTRBU8_pre, ...
printT2AddrModeImm8Operand<true>(MI, 2, STI, O);
O << '!';
return;
break;
case 34:
// MVE_VLDRDU64_rq, MVE_VSTRD64_rq
printMveAddrModeRQOperand<3>(MI, 1, STI, O);
return;
break;
case 35:
// MVE_VLDRHS32_rq, MVE_VLDRHU16_rq, MVE_VLDRHU32_rq, MVE_VSTRH16_rq, MVE...
printMveAddrModeRQOperand<1>(MI, 1, STI, O);
return;
break;
case 36:
// MVE_VLDRWU32_rq, MVE_VSTRW32_rq
printMveAddrModeRQOperand<2>(MI, 1, STI, O);
return;
break;
case 37:
// MVE_VMOVimmi16, MVE_VMOVimmi32, MVE_VMOVimmi8, MVE_VMVNimmi16, MVE_VMV...
printVMOVModImmOperand(MI, 1, STI, O);
return;
break;
case 38:
// SSAT, SSAT16, t2SSAT, t2SSAT16
printImmPlusOneOperand(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
break;
case 39:
// STLEXD, STREXD
printGPRPairOperand(MI, 1, STI, O);
O << ", ";
printAddrMode7Operand(MI, 2, STI, O);
return;
break;
case 40:
// VLD1LNd16, VLD1LNd32, VLD1LNd8, VST2LNd16, VST2LNd32, VST2LNd8, VST2LN...
printNoHashImmediate(MI, 4, STI, O);
break;
case 41:
// VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD, VLD2LNd16, VLD2LNd32, VLD2...
printNoHashImmediate(MI, 6, STI, O);
break;
case 42:
// VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
printNoHashImmediate(MI, 8, STI, O);
O << "], ";
break;
case 43:
// VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
O << "[]}, ";
break;
case 44:
// VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
printNoHashImmediate(MI, 10, STI, O);
O << "], ";
printOperand(MI, 1, STI, O);
O << '[';
printNoHashImmediate(MI, 10, STI, O);
O << "], ";
printOperand(MI, 2, STI, O);
O << '[';
printNoHashImmediate(MI, 10, STI, O);
break;
case 45:
// VLD4DUPd16, VLD4DUPd16_UPD, VLD4DUPd32, VLD4DUPd32_UPD, VLD4DUPd8, VLD...
O << "[], ";
printOperand(MI, 3, STI, O);
O << "[]}, ";
break;
case 46:
// VLD4LNd16_UPD, VLD4LNd32_UPD, VLD4LNd8_UPD, VLD4LNq16_UPD, VLD4LNq32_U...
printNoHashImmediate(MI, 12, STI, O);
O << "], ";
printOperand(MI, 1, STI, O);
O << '[';
printNoHashImmediate(MI, 12, STI, O);
O << "], ";
printOperand(MI, 2, STI, O);
O << '[';
printNoHashImmediate(MI, 12, STI, O);
O << "], ";
printOperand(MI, 3, STI, O);
O << '[';
printNoHashImmediate(MI, 12, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 5, STI, O);
printAddrMode6OffsetOperand(MI, 7, STI, O);
return;
break;
case 47:
// VLDRD, VLDRS, VSTRD, VSTRS
printAddrMode5Operand<false>(MI, 1, STI, O);
return;
break;
case 48:
// VLDRH, VSTRH
printAddrMode5FP16Operand<false>(MI, 1, STI, O);
return;
break;
case 49:
// VST1LNd16, VST1LNd32, VST1LNd8
printNoHashImmediate(MI, 3, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case 50:
// VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD, VST3LNd16, VST3LNd32, VST3...
printNoHashImmediate(MI, 5, STI, O);
break;
case 51:
// VST3LNd16_UPD, VST3LNd32_UPD, VST3LNd8_UPD, VST3LNq16_UPD, VST3LNq32_U...
printNoHashImmediate(MI, 7, STI, O);
O << "], ";
printOperand(MI, 5, STI, O);
O << '[';
printNoHashImmediate(MI, 7, STI, O);
O << "], ";
printOperand(MI, 6, STI, O);
O << '[';
printNoHashImmediate(MI, 7, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 1, STI, O);
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 52:
// VST3d16_UPD, VST3d32_UPD, VST3d8_UPD, VST3q16_UPD, VST3q32_UPD, VST3q8...
printOperand(MI, 5, STI, O);
O << ", ";
printOperand(MI, 6, STI, O);
break;
case 53:
// VTBL1
printVectorListOne(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 54:
// VTBL2
printVectorListTwo(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 55:
// VTBL3
printVectorListThree(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 56:
// VTBL4
printVectorListFour(MI, 1, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
return;
break;
case 57:
// VTBX1
printVectorListOne(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 58:
// VTBX2
printVectorListTwo(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 59:
// VTBX3
printVectorListThree(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 60:
// VTBX4
printVectorListFour(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 61:
// sysLDMDA_UPD, sysLDMDB_UPD, sysLDMIA_UPD, sysLDMIB_UPD, sysSTMDA_UPD, ...
O << " ^";
return;
break;
case 62:
// t2LDRBpci, t2LDRHpci, t2LDRSBpci, t2LDRSHpci, t2LDRpci, tLDRpci
printThumbLdrLabelOperand(MI, 1, STI, O);
return;
break;
case 63:
// t2LDRBs, t2LDRHs, t2LDRSBs, t2LDRSHs, t2LDRs, t2STRBs, t2STRHs, t2STRs
printT2AddrModeSoRegOperand(MI, 1, STI, O);
return;
break;
case 64:
// t2LDREX
printT2AddrModeImm0_1020s4Operand(MI, 1, STI, O);
return;
break;
case 65:
// t2MRS_M
printMSRMaskOperand(MI, 1, STI, O);
return;
break;
case 66:
// tADDspi, tSUBspi
printThumbS4ImmOperand(MI, 2, STI, O);
return;
break;
case 67:
// tADR
printAdrLabelOperand<2>(MI, 1, STI, O);
return;
break;
case 68:
// tASRri, tLSRri
printThumbSRImm(MI, 3, STI, O);
return;
break;
case 69:
// tLDRBi, tSTRBi
printThumbAddrModeImm5S1Operand(MI, 1, STI, O);
return;
break;
case 70:
// tLDRBr, tLDRHr, tLDRSB, tLDRSH, tLDRr, tSTRBr, tSTRHr, tSTRr
printThumbAddrModeRROperand(MI, 1, STI, O);
return;
break;
case 71:
// tLDRHi, tSTRHi
printThumbAddrModeImm5S2Operand(MI, 1, STI, O);
return;
break;
case 72:
// tLDRi, tSTRi
printThumbAddrModeImm5S4Operand(MI, 1, STI, O);
return;
break;
case 73:
// tLDRspi, tSTRspi
printThumbAddrModeSPOperand(MI, 1, STI, O);
return;
break;
}
// Fragment 5 encoded into 5 bits for 26 unique commands.
switch ((Bits >> 46) & 31) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, VLD1LNdWB_register_Asm...
O << ", ";
break;
case 1:
// LDRConstPool, RRXi, VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD2LN...
return;
break;
case 2:
// VLD1LNdWB_fixed_Asm_16, VLD1LNdWB_fixed_Asm_32, VLD1LNdWB_fixed_Asm_8,...
O << '!';
return;
break;
case 3:
// VLD3dWB_register_Asm_16, VLD3dWB_register_Asm_32, VLD3dWB_register_Asm...
printOperand(MI, 3, STI, O);
return;
break;
case 4:
// CDP, t2CDP, t2CDP2
printCImmediate(MI, 2, STI, O);
O << ", ";
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 5:
// MCR, MCRR, VADDD, VDIVD, VMULD, VNMULD, VSUBD, t2MCR, t2MCR2, t2MCRR, ...
printOperand(MI, 2, STI, O);
break;
case 6:
// MVE_VLDRBS16_post, MVE_VLDRBS32_post, MVE_VLDRBU16_post, MVE_VLDRBU32_...
printT2AddrModeImm8OffsetOperand(MI, 3, STI, O);
return;
break;
case 7:
// MVE_VMOV_from_lane_32, MVE_VMOV_from_lane_s16, MVE_VMOV_from_lane_s8, ...
printVectorIndex(MI, 2, STI, O);
return;
break;
case 8:
// MVE_VMOV_q_rr, VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_U...
printOperand(MI, 1, STI, O);
break;
case 9:
// MVE_VSHLL_lws16bh, MVE_VSHLL_lws16th, MVE_VSHLL_lwu16bh, MVE_VSHLL_lwu...
O << ", #16";
return;
break;
case 10:
// MVE_VSHLL_lws8bh, MVE_VSHLL_lws8th, MVE_VSHLL_lwu8bh, MVE_VSHLL_lwu8th
O << ", #8";
return;
break;
case 11:
// SSAT, t2SSAT
printShiftImmOperand(MI, 3, STI, O);
return;
break;
case 12:
// SXTB, SXTB16, SXTH, UXTB, UXTB16, UXTH, t2SXTB, t2SXTB16, t2SXTH, t2UX...
printRotImmOperand(MI, 2, STI, O);
return;
break;
case 13:
// VCEQzv16i8, VCEQzv2f32, VCEQzv2i32, VCEQzv4f16, VCEQzv4f32, VCEQzv4i16...
O << ", #0";
return;
break;
case 14:
// VCMLAv2f32_indexed, VCMLAv4f16_indexed, VCMLAv4f32_indexed, VCMLAv8f16...
printVectorIndex(MI, 4, STI, O);
O << ", ";
printComplexRotationOp<90, 0>(MI, 5, STI, O);
return;
break;
case 15:
// VFMALDI, VFMALQI, VFMSLDI, VFMSLQI
printVectorIndex(MI, 3, STI, O);
return;
break;
case 16:
// VLD1DUPd16wb_register, VLD1DUPd32wb_register, VLD1DUPd8wb_register, VL...
printOperand(MI, 4, STI, O);
return;
break;
case 17:
// VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
O << "]}, ";
break;
case 18:
// VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32, VLD4LNd16, VLD4L...
O << "], ";
break;
case 19:
// VLD3DUPd16, VLD3DUPd32, VLD3DUPd8, VLD3DUPq16, VLD3DUPq32, VLD3DUPq8
printAddrMode6Operand(MI, 3, STI, O);
return;
break;
case 20:
// VLD3DUPd16_UPD, VLD3DUPd32_UPD, VLD3DUPd8_UPD, VLD3DUPq16_UPD, VLD3DUP...
printAddrMode6Operand(MI, 4, STI, O);
break;
case 21:
// VLD4DUPd16_UPD, VLD4DUPd32_UPD, VLD4DUPd8_UPD, VLD4DUPq16_UPD, VLD4DUP...
printAddrMode6Operand(MI, 5, STI, O);
printAddrMode6OffsetOperand(MI, 7, STI, O);
return;
break;
case 22:
// VST3d16_UPD, VST3d32_UPD, VST3d8_UPD, VST3q16_UPD, VST3q32_UPD, VST3q8...
O << "}, ";
printAddrMode6Operand(MI, 1, STI, O);
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 23:
// VST4LNd16_UPD, VST4LNd32_UPD, VST4LNd8_UPD, VST4LNq16_UPD, VST4LNq32_U...
printOperand(MI, 5, STI, O);
O << '[';
printNoHashImmediate(MI, 8, STI, O);
O << "], ";
printOperand(MI, 6, STI, O);
O << '[';
printNoHashImmediate(MI, 8, STI, O);
O << "], ";
printOperand(MI, 7, STI, O);
O << '[';
printNoHashImmediate(MI, 8, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 1, STI, O);
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 24:
// sysLDMDA, sysLDMDB, sysLDMIA, sysLDMIB, sysSTMDA, sysSTMDB, sysSTMIA, ...
O << " ^";
return;
break;
case 25:
// t2MOVsra_flag, t2MOVsrl_flag
O << ", #1";
return;
break;
}
// Fragment 6 encoded into 6 bits for 38 unique commands.
switch ((Bits >> 51) & 63) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, ADCrr, ADDrr, ANDrr, B...
printOperand(MI, 2, STI, O);
break;
case 1:
// VLD1LNdWB_register_Asm_16, VLD1LNdWB_register_Asm_32, VLD1LNdWB_regist...
printOperand(MI, 4, STI, O);
break;
case 2:
// ADCri, ADDri, ANDri, BICri, EORri, ORRri, RSBri, RSCri, SBCri, SUBri
printModImmOperand(MI, 2, STI, O);
return;
break;
case 3:
// ADCrsi, ADDrsi, ANDrsi, BICrsi, EORrsi, ORRrsi, RSBrsi, RSCrsi, SBCrsi...
printSORegImmOperand(MI, 2, STI, O);
return;
break;
case 4:
// BFI, t2BFI
printBitfieldInvMaskImmOperand(MI, 3, STI, O);
return;
break;
case 5:
// LDCL_OPTION, LDC_OPTION, STCL_OPTION, STC_OPTION, t2LDC2L_OPTION, t2LD...
printCoprocOptionImm(MI, 3, STI, O);
return;
break;
case 6:
// LDCL_POST, LDC_POST, STCL_POST, STC_POST, t2LDC2L_POST, t2LDC2_POST, t...
printPostIdxImm8s4Operand(MI, 3, STI, O);
return;
break;
case 7:
// LDRBT_POST_IMM, LDRBT_POST_REG, LDRB_POST_IMM, LDRB_POST_REG, LDRT_POS...
printAddrMode2OffsetOperand(MI, 3, STI, O);
return;
break;
case 8:
// LDRD, STRD
printAddrMode3Operand<false>(MI, 2, STI, O);
return;
break;
case 9:
// LDRD_POST, STRD_POST, t2LDRD_POST, t2STRD_POST
printAddrMode7Operand(MI, 3, STI, O);
break;
case 10:
// LDRD_PRE, STRD_PRE
printAddrMode3Operand<true>(MI, 3, STI, O);
O << '!';
return;
break;
case 11:
// LDRHTi, LDRSBTi, LDRSHTi, STRHTi
printPostIdxImm8Operand(MI, 3, STI, O);
return;
break;
case 12:
// LDRHTr, LDRSBTr, LDRSHTr, STRHTr
printPostIdxRegOperand(MI, 3, STI, O);
return;
break;
case 13:
// LDRH_POST, LDRSB_POST, LDRSH_POST, STRH_POST
printAddrMode3OffsetOperand(MI, 3, STI, O);
return;
break;
case 14:
// MCR, MCRR, t2MCR, t2MCR2, t2MCRR, t2MCRR2
O << ", ";
break;
case 15:
// MCRR2
printCImmediate(MI, 4, STI, O);
return;
break;
case 16:
// MVE_SQRSHRL, MVE_UQRSHLL
printMveSaturateOp(MI, 5, STI, O);
O << ", ";
printOperand(MI, 4, STI, O);
return;
break;
case 17:
// MVE_VABAVs16, MVE_VABAVs32, MVE_VABAVs8, MVE_VABAVu16, MVE_VABAVu32, M...
printOperand(MI, 3, STI, O);
break;
case 18:
// MVE_VMOV_q_rr
printVectorIndex(MI, 5, STI, O);
O << ", ";
printOperand(MI, 2, STI, O);
O << ", ";
printOperand(MI, 3, STI, O);
return;
break;
case 19:
// STLEX, STLEXB, STLEXH, STREX, STREXB, STREXH, SWP, SWPB, t2LDAEXD, t2L...
printAddrMode7Operand(MI, 2, STI, O);
return;
break;
case 20:
// VADDD, VDIVD, VLD4DUPd16, VLD4DUPd32, VLD4DUPd8, VLD4DUPq16, VLD4DUPq3...
return;
break;
case 21:
// VCADDv2f32, VCADDv4f16, VCADDv4f32, VCADDv8f16
printComplexRotationOp<180, 90>(MI, 3, STI, O);
return;
break;
case 22:
// VCMLAv2f32, VCMLAv4f16, VCMLAv4f32, VCMLAv8f16
printComplexRotationOp<90, 0>(MI, 4, STI, O);
return;
break;
case 23:
// VLD1LNd16, VLD1LNd32, VLD1LNd8, VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8...
printAddrMode6Operand(MI, 1, STI, O);
break;
case 24:
// VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD
printAddrMode6Operand(MI, 2, STI, O);
printAddrMode6OffsetOperand(MI, 4, STI, O);
return;
break;
case 25:
// VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32
printOperand(MI, 1, STI, O);
O << '[';
printNoHashImmediate(MI, 6, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 2, STI, O);
return;
break;
case 26:
// VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
O << '[';
printNoHashImmediate(MI, 8, STI, O);
break;
case 27:
// VLD3DUPd16_UPD, VLD3DUPd32_UPD, VLD3DUPd8_UPD, VLD3DUPq16_UPD, VLD3DUP...
printAddrMode6OffsetOperand(MI, 6, STI, O);
return;
break;
case 28:
// VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
printAddrMode6Operand(MI, 4, STI, O);
printAddrMode6OffsetOperand(MI, 6, STI, O);
return;
break;
case 29:
// VST2LNd16_UPD, VST2LNd32_UPD, VST2LNd8_UPD, VST2LNq16_UPD, VST2LNq32_U...
printOperand(MI, 5, STI, O);
O << '[';
printNoHashImmediate(MI, 6, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 1, STI, O);
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 30:
// VST4d16_UPD, VST4d32_UPD, VST4d8_UPD, VST4q16_UPD, VST4q32_UPD, VST4q8...
printOperand(MI, 7, STI, O);
O << "}, ";
printAddrMode6Operand(MI, 1, STI, O);
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 31:
// t2ADCrs, t2ADDrs, t2ANDrs, t2BICrs, t2EORrs, t2ORNrs, t2ORRrs, t2RSBrs...
printT2SOOperand(MI, 2, STI, O);
return;
break;
case 32:
// t2ASRri, t2LSRri
printThumbSRImm(MI, 2, STI, O);
return;
break;
case 33:
// t2BFic, t2CSEL, t2CSINC, t2CSINV, t2CSNEG
printMandatoryPredicateOperand(MI, 3, STI, O);
return;
break;
case 34:
// t2LDRD_PRE, t2STRD_PRE
printT2AddrModeImm8s4Operand<true>(MI, 3, STI, O);
O << '!';
return;
break;
case 35:
// t2LDRDi8, t2STRDi8
printT2AddrModeImm8s4Operand<false>(MI, 2, STI, O);
return;
break;
case 36:
// t2STREX
printT2AddrModeImm0_1020s4Operand(MI, 2, STI, O);
return;
break;
case 37:
// tADDrSPi
printThumbS4ImmOperand(MI, 2, STI, O);
return;
break;
}
// Fragment 7 encoded into 4 bits for 16 unique commands.
switch ((Bits >> 57) & 15) {
default: llvm_unreachable("Invalid command number.");
case 0:
// ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, VLD1LNdWB_register_Asm...
return;
break;
case 1:
// LDRD_POST, MLA, MLS, MVE_VCADDf16, MVE_VCADDf32, MVE_VCADDi16, MVE_VCA...
O << ", ";
break;
case 2:
// MCR, t2MCR, t2MCR2
printCImmediate(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
O << ", ";
printOperand(MI, 5, STI, O);
return;
break;
case 3:
// MCRR, t2MCRR, t2MCRR2
printOperand(MI, 3, STI, O);
O << ", ";
printCImmediate(MI, 4, STI, O);
return;
break;
case 4:
// MVE_VMOV_rr_q, VMULLslsv2i32, VMULLslsv4i16, VMULLsluv2i32, VMULLsluv4...
printVectorIndex(MI, 3, STI, O);
break;
case 5:
// PKHBT, t2PKHBT
printPKHLSLShiftImm(MI, 3, STI, O);
return;
break;
case 6:
// PKHTB, t2PKHTB
printPKHASRShiftImm(MI, 3, STI, O);
return;
break;
case 7:
// SXTAB, SXTAB16, SXTAH, UXTAB, UXTAB16, UXTAH, t2SXTAB, t2SXTAB16, t2SX...
printRotImmOperand(MI, 3, STI, O);
return;
break;
case 8:
// USAT, t2USAT
printShiftImmOperand(MI, 3, STI, O);
return;
break;
case 9:
// VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
O << "]}, ";
printAddrMode6Operand(MI, 3, STI, O);
printAddrMode6OffsetOperand(MI, 5, STI, O);
return;
break;
case 10:
// VLD3LNd16, VLD3LNd32, VLD3LNd8, VLD3LNq16, VLD3LNq32
O << "], ";
printOperand(MI, 2, STI, O);
O << '[';
printNoHashImmediate(MI, 8, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 3, STI, O);
return;
break;
case 11:
// VLD3d16, VLD3d16_UPD, VLD3d32, VLD3d32_UPD, VLD3d8, VLD3d8_UPD, VLD3q1...
O << "}, ";
break;
case 12:
// VLD4LNd16, VLD4LNd32, VLD4LNd8, VLD4LNq16, VLD4LNq32, VST2LNd16, VST2L...
O << '[';
break;
case 13:
// VMLALslsv2i32, VMLALslsv4i16, VMLALsluv2i32, VMLALsluv4i16, VMLAslfd, ...
printVectorIndex(MI, 4, STI, O);
return;
break;
case 14:
// VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD
printAddrMode6OffsetOperand(MI, 3, STI, O);
return;
break;
case 15:
// t2LDRD_POST, t2STRD_POST
printT2AddrModeImm8s4OffsetOperand(MI, 4, STI, O);
return;
break;
}
switch (MI->getOpcode()) {
default: llvm_unreachable("Unexpected opcode.");
case ARM::LDRD_POST:
case ARM::MLA:
case ARM::MLS:
case ARM::MVE_VCADDf16:
case ARM::MVE_VCADDf32:
case ARM::MVE_VCADDi16:
case ARM::MVE_VCADDi32:
case ARM::MVE_VCADDi8:
case ARM::MVE_VCMLAf16:
case ARM::MVE_VCMLAf32:
case ARM::MVE_VCMULf16:
case ARM::MVE_VCMULf32:
case ARM::MVE_VDWDUPu16:
case ARM::MVE_VDWDUPu32:
case ARM::MVE_VDWDUPu8:
case ARM::MVE_VHCADDs16:
case ARM::MVE_VHCADDs32:
case ARM::MVE_VHCADDs8:
case ARM::MVE_VIWDUPu16:
case ARM::MVE_VIWDUPu32:
case ARM::MVE_VIWDUPu8:
case ARM::MVE_VMLALDAVas16:
case ARM::MVE_VMLALDAVas32:
case ARM::MVE_VMLALDAVau16:
case ARM::MVE_VMLALDAVau32:
case ARM::MVE_VMLALDAVaxs16:
case ARM::MVE_VMLALDAVaxs32:
case ARM::MVE_VMLALDAVs16:
case ARM::MVE_VMLALDAVs32:
case ARM::MVE_VMLALDAVu16:
case ARM::MVE_VMLALDAVu32:
case ARM::MVE_VMLALDAVxs16:
case ARM::MVE_VMLALDAVxs32:
case ARM::MVE_VMLSLDAVas16:
case ARM::MVE_VMLSLDAVas32:
case ARM::MVE_VMLSLDAVaxs16:
case ARM::MVE_VMLSLDAVaxs32:
case ARM::MVE_VMLSLDAVs16:
case ARM::MVE_VMLSLDAVs32:
case ARM::MVE_VMLSLDAVxs16:
case ARM::MVE_VMLSLDAVxs32:
case ARM::MVE_VRMLALDAVHas32:
case ARM::MVE_VRMLALDAVHau32:
case ARM::MVE_VRMLALDAVHaxs32:
case ARM::MVE_VRMLALDAVHs32:
case ARM::MVE_VRMLALDAVHu32:
case ARM::MVE_VRMLALDAVHxs32:
case ARM::MVE_VRMLSLDAVHas32:
case ARM::MVE_VRMLSLDAVHaxs32:
case ARM::MVE_VRMLSLDAVHs32:
case ARM::MVE_VRMLSLDAVHxs32:
case ARM::SBFX:
case ARM::SMLABB:
case ARM::SMLABT:
case ARM::SMLAD:
case ARM::SMLADX:
case ARM::SMLALBB:
case ARM::SMLALBT:
case ARM::SMLALD:
case ARM::SMLALDX:
case ARM::SMLALTB:
case ARM::SMLALTT:
case ARM::SMLATB:
case ARM::SMLATT:
case ARM::SMLAWB:
case ARM::SMLAWT:
case ARM::SMLSD:
case ARM::SMLSDX:
case ARM::SMLSLD:
case ARM::SMLSLDX:
case ARM::SMMLA:
case ARM::SMMLAR:
case ARM::SMMLS:
case ARM::SMMLSR:
case ARM::SMULL:
case ARM::STRD_POST:
case ARM::UBFX:
case ARM::UMAAL:
case ARM::UMULL:
case ARM::USADA8:
case ARM::VEXTd16:
case ARM::VEXTd32:
case ARM::VEXTd8:
case ARM::VEXTq16:
case ARM::VEXTq32:
case ARM::VEXTq64:
case ARM::VEXTq8:
case ARM::VLD3d16:
case ARM::VLD3d32:
case ARM::VLD3d8:
case ARM::VLD3q16:
case ARM::VLD3q32:
case ARM::VLD3q8:
case ARM::VMOVRRS:
case ARM::VMOVSRR:
case ARM::VST3d16:
case ARM::VST3d32:
case ARM::VST3d8:
case ARM::VST3q16:
case ARM::VST3q32:
case ARM::VST3q8:
case ARM::t2MLA:
case ARM::t2MLS:
case ARM::t2SBFX:
case ARM::t2SMLABB:
case ARM::t2SMLABT:
case ARM::t2SMLAD:
case ARM::t2SMLADX:
case ARM::t2SMLAL:
case ARM::t2SMLALBB:
case ARM::t2SMLALBT:
case ARM::t2SMLALD:
case ARM::t2SMLALDX:
case ARM::t2SMLALTB:
case ARM::t2SMLALTT:
case ARM::t2SMLATB:
case ARM::t2SMLATT:
case ARM::t2SMLAWB:
case ARM::t2SMLAWT:
case ARM::t2SMLSD:
case ARM::t2SMLSDX:
case ARM::t2SMLSLD:
case ARM::t2SMLSLDX:
case ARM::t2SMMLA:
case ARM::t2SMMLAR:
case ARM::t2SMMLS:
case ARM::t2SMMLSR:
case ARM::t2SMULL:
case ARM::t2STLEXD:
case ARM::t2STREXD:
case ARM::t2UBFX:
case ARM::t2UMAAL:
case ARM::t2UMLAL:
case ARM::t2UMULL:
case ARM::t2USADA8:
switch (MI->getOpcode()) {
default: llvm_unreachable("Unexpected opcode.");
case ARM::LDRD_POST:
case ARM::STRD_POST:
printAddrMode3OffsetOperand(MI, 4, STI, O);
break;
case ARM::MLA:
case ARM::MLS:
case ARM::MVE_VMLALDAVs16:
case ARM::MVE_VMLALDAVs32:
case ARM::MVE_VMLALDAVu16:
case ARM::MVE_VMLALDAVu32:
case ARM::MVE_VMLALDAVxs16:
case ARM::MVE_VMLALDAVxs32:
case ARM::MVE_VMLSLDAVs16:
case ARM::MVE_VMLSLDAVs32:
case ARM::MVE_VMLSLDAVxs16:
case ARM::MVE_VMLSLDAVxs32:
case ARM::MVE_VRMLALDAVHs32:
case ARM::MVE_VRMLALDAVHu32:
case ARM::MVE_VRMLALDAVHxs32:
case ARM::MVE_VRMLSLDAVHs32:
case ARM::MVE_VRMLSLDAVHxs32:
case ARM::SMLABB:
case ARM::SMLABT:
case ARM::SMLAD:
case ARM::SMLADX:
case ARM::SMLALBB:
case ARM::SMLALBT:
case ARM::SMLALD:
case ARM::SMLALDX:
case ARM::SMLALTB:
case ARM::SMLALTT:
case ARM::SMLATB:
case ARM::SMLATT:
case ARM::SMLAWB:
case ARM::SMLAWT:
case ARM::SMLSD:
case ARM::SMLSDX:
case ARM::SMLSLD:
case ARM::SMLSLDX:
case ARM::SMMLA:
case ARM::SMMLAR:
case ARM::SMMLS:
case ARM::SMMLSR:
case ARM::SMULL:
case ARM::UMAAL:
case ARM::UMULL:
case ARM::USADA8:
case ARM::VEXTd16:
case ARM::VEXTd32:
case ARM::VEXTd8:
case ARM::VEXTq16:
case ARM::VEXTq32:
case ARM::VEXTq64:
case ARM::VEXTq8:
case ARM::VMOVRRS:
case ARM::VMOVSRR:
case ARM::t2MLA:
case ARM::t2MLS:
case ARM::t2SMLABB:
case ARM::t2SMLABT:
case ARM::t2SMLAD:
case ARM::t2SMLADX:
case ARM::t2SMLAL:
case ARM::t2SMLALBB:
case ARM::t2SMLALBT:
case ARM::t2SMLALD:
case ARM::t2SMLALDX:
case ARM::t2SMLALTB:
case ARM::t2SMLALTT:
case ARM::t2SMLATB:
case ARM::t2SMLATT:
case ARM::t2SMLAWB:
case ARM::t2SMLAWT:
case ARM::t2SMLSD:
case ARM::t2SMLSDX:
case ARM::t2SMLSLD:
case ARM::t2SMLSLDX:
case ARM::t2SMMLA:
case ARM::t2SMMLAR:
case ARM::t2SMMLS:
case ARM::t2SMMLSR:
case ARM::t2SMULL:
case ARM::t2UMAAL:
case ARM::t2UMLAL:
case ARM::t2UMULL:
case ARM::t2USADA8:
printOperand(MI, 3, STI, O);
break;
case ARM::MVE_VCADDf16:
case ARM::MVE_VCADDf32:
case ARM::MVE_VCADDi16:
case ARM::MVE_VCADDi32:
case ARM::MVE_VCADDi8:
case ARM::MVE_VHCADDs16:
case ARM::MVE_VHCADDs32:
case ARM::MVE_VHCADDs8:
printComplexRotationOp<180, 90>(MI, 3, STI, O);
break;
case ARM::MVE_VCMLAf16:
case ARM::MVE_VCMLAf32:
printComplexRotationOp<90, 0>(MI, 4, STI, O);
break;
case ARM::MVE_VCMULf16:
case ARM::MVE_VCMULf32:
printComplexRotationOp<90, 0>(MI, 3, STI, O);
break;
case ARM::MVE_VDWDUPu16:
case ARM::MVE_VDWDUPu32:
case ARM::MVE_VDWDUPu8:
case ARM::MVE_VIWDUPu16:
case ARM::MVE_VIWDUPu32:
case ARM::MVE_VIWDUPu8:
printOperand(MI, 4, STI, O);
break;
case ARM::MVE_VMLALDAVas16:
case ARM::MVE_VMLALDAVas32:
case ARM::MVE_VMLALDAVau16:
case ARM::MVE_VMLALDAVau32:
case ARM::MVE_VMLALDAVaxs16:
case ARM::MVE_VMLALDAVaxs32:
case ARM::MVE_VMLSLDAVas16:
case ARM::MVE_VMLSLDAVas32:
case ARM::MVE_VMLSLDAVaxs16:
case ARM::MVE_VMLSLDAVaxs32:
case ARM::MVE_VRMLALDAVHas32:
case ARM::MVE_VRMLALDAVHau32:
case ARM::MVE_VRMLALDAVHaxs32:
case ARM::MVE_VRMLSLDAVHas32:
case ARM::MVE_VRMLSLDAVHaxs32:
printOperand(MI, 5, STI, O);
break;
case ARM::SBFX:
case ARM::UBFX:
case ARM::t2SBFX:
case ARM::t2UBFX:
printImmPlusOneOperand(MI, 3, STI, O);
break;
case ARM::VLD3d16:
case ARM::VLD3d32:
case ARM::VLD3d8:
case ARM::VLD3q16:
case ARM::VLD3q32:
case ARM::VLD3q8:
printAddrMode6Operand(MI, 3, STI, O);
break;
case ARM::VST3d16:
case ARM::VST3d32:
case ARM::VST3d8:
case ARM::VST3q16:
case ARM::VST3q32:
case ARM::VST3q8:
printAddrMode6Operand(MI, 0, STI, O);
break;
case ARM::t2STLEXD:
case ARM::t2STREXD:
printAddrMode7Operand(MI, 3, STI, O);
break;
}
return;
break;
case ARM::MVE_VMOV_rr_q:
O << ", ";
printOperand(MI, 2, STI, O);
printVectorIndex(MI, 4, STI, O);
return;
break;
case ARM::VLD3d16_UPD:
case ARM::VLD3d32_UPD:
case ARM::VLD3d8_UPD:
case ARM::VLD3q16_UPD:
case ARM::VLD3q32_UPD:
case ARM::VLD3q8_UPD:
printAddrMode6Operand(MI, 4, STI, O);
printAddrMode6OffsetOperand(MI, 6, STI, O);
return;
break;
case ARM::VLD4LNd16:
case ARM::VLD4LNd32:
case ARM::VLD4LNd8:
case ARM::VLD4LNq16:
case ARM::VLD4LNq32:
printNoHashImmediate(MI, 10, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 4, STI, O);
return;
break;
case ARM::VLD4d16:
case ARM::VLD4d32:
case ARM::VLD4d8:
case ARM::VLD4q16:
case ARM::VLD4q32:
case ARM::VLD4q8:
printOperand(MI, 3, STI, O);
O << "}, ";
printAddrMode6Operand(MI, 4, STI, O);
return;
break;
case ARM::VLD4d16_UPD:
case ARM::VLD4d32_UPD:
case ARM::VLD4d8_UPD:
case ARM::VLD4q16_UPD:
case ARM::VLD4q32_UPD:
case ARM::VLD4q8_UPD:
printOperand(MI, 3, STI, O);
O << "}, ";
printAddrMode6Operand(MI, 5, STI, O);
printAddrMode6OffsetOperand(MI, 7, STI, O);
return;
break;
case ARM::VMULLslsv2i32:
case ARM::VMULLslsv4i16:
case ARM::VMULLsluv2i32:
case ARM::VMULLsluv4i16:
case ARM::VMULslfd:
case ARM::VMULslfq:
case ARM::VMULslhd:
case ARM::VMULslhq:
case ARM::VMULslv2i32:
case ARM::VMULslv4i16:
case ARM::VMULslv4i32:
case ARM::VMULslv8i16:
case ARM::VQDMULHslv2i32:
case ARM::VQDMULHslv4i16:
case ARM::VQDMULHslv4i32:
case ARM::VQDMULHslv8i16:
case ARM::VQDMULLslv2i32:
case ARM::VQDMULLslv4i16:
case ARM::VQRDMULHslv2i32:
case ARM::VQRDMULHslv4i16:
case ARM::VQRDMULHslv4i32:
case ARM::VQRDMULHslv8i16:
return;
break;
case ARM::VST2LNd16:
case ARM::VST2LNd32:
case ARM::VST2LNd8:
case ARM::VST2LNq16:
case ARM::VST2LNq32:
printNoHashImmediate(MI, 4, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case ARM::VST3LNd16:
case ARM::VST3LNd32:
case ARM::VST3LNd8:
case ARM::VST3LNq16:
case ARM::VST3LNq32:
printNoHashImmediate(MI, 5, STI, O);
O << "], ";
printOperand(MI, 4, STI, O);
O << '[';
printNoHashImmediate(MI, 5, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case ARM::VST4LNd16:
case ARM::VST4LNd32:
case ARM::VST4LNd8:
case ARM::VST4LNq16:
case ARM::VST4LNq32:
printNoHashImmediate(MI, 6, STI, O);
O << "], ";
printOperand(MI, 4, STI, O);
O << '[';
printNoHashImmediate(MI, 6, STI, O);
O << "], ";
printOperand(MI, 5, STI, O);
O << '[';
printNoHashImmediate(MI, 6, STI, O);
O << "]}, ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
case ARM::VST4d16:
case ARM::VST4d32:
case ARM::VST4d8:
case ARM::VST4q16:
case ARM::VST4q32:
case ARM::VST4q8:
printOperand(MI, 5, STI, O);
O << "}, ";
printAddrMode6Operand(MI, 0, STI, O);
return;
break;
}
}
/// getRegisterName - This method is automatically generated by tblgen
/// from the register set description. This returns the assembler name
/// for the specified register.
const char *ARMInstPrinter::
getRegisterName(unsigned RegNo, unsigned AltIdx) {
assert(RegNo && RegNo < 295 && "Invalid register number!");
static const char AsmStrsNoRegAltName[] = {
/* 0 */ 'D', '4', '_', 'D', '6', '_', 'D', '8', '_', 'D', '1', '0', 0,
/* 13 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', '_', 'D', '1', '0', 0,
/* 26 */ 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', 0,
/* 39 */ 'd', '1', '0', 0,
/* 43 */ 'q', '1', '0', 0,
/* 47 */ 'r', '1', '0', 0,
/* 51 */ 's', '1', '0', 0,
/* 55 */ 'D', '1', '4', '_', 'D', '1', '6', '_', 'D', '1', '8', '_', 'D', '2', '0', 0,
/* 71 */ 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', '_', 'D', '2', '0', 0,
/* 87 */ 'd', '2', '0', 0,
/* 91 */ 's', '2', '0', 0,
/* 95 */ 'D', '2', '4', '_', 'D', '2', '6', '_', 'D', '2', '8', '_', 'D', '3', '0', 0,
/* 111 */ 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', '_', 'D', '3', '0', 0,
/* 127 */ 'd', '3', '0', 0,
/* 131 */ 's', '3', '0', 0,
/* 135 */ 'd', '0', 0,
/* 138 */ 'p', '0', 0,
/* 141 */ 'q', '0', 0,
/* 144 */ 'm', 'v', 'f', 'r', '0', 0,
/* 150 */ 's', '0', 0,
/* 153 */ 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', 0,
/* 164 */ 'D', '5', '_', 'D', '7', '_', 'D', '9', '_', 'D', '1', '1', 0,
/* 177 */ 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', 0,
/* 191 */ 'R', '1', '0', '_', 'R', '1', '1', 0,
/* 199 */ 'd', '1', '1', 0,
/* 203 */ 'q', '1', '1', 0,
/* 207 */ 'r', '1', '1', 0,
/* 211 */ 's', '1', '1', 0,
/* 215 */ 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', 0,
/* 227 */ 'D', '1', '5', '_', 'D', '1', '7', '_', 'D', '1', '9', '_', 'D', '2', '1', 0,
/* 243 */ 'd', '2', '1', 0,
/* 247 */ 's', '2', '1', 0,
/* 251 */ 'D', '2', '9', '_', 'D', '3', '0', '_', 'D', '3', '1', 0,
/* 263 */ 'D', '2', '5', '_', 'D', '2', '7', '_', 'D', '2', '9', '_', 'D', '3', '1', 0,
/* 279 */ 'd', '3', '1', 0,
/* 283 */ 's', '3', '1', 0,
/* 287 */ 'Q', '0', '_', 'Q', '1', 0,
/* 293 */ 'R', '0', '_', 'R', '1', 0,
/* 299 */ 'd', '1', 0,
/* 302 */ 'q', '1', 0,
/* 305 */ 'm', 'v', 'f', 'r', '1', 0,
/* 311 */ 's', '1', 0,
/* 314 */ 'D', '6', '_', 'D', '8', '_', 'D', '1', '0', '_', 'D', '1', '2', 0,
/* 328 */ 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', '_', 'D', '1', '2', 0,
/* 343 */ 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', 0,
/* 358 */ 'd', '1', '2', 0,
/* 362 */ 'q', '1', '2', 0,
/* 366 */ 'r', '1', '2', 0,
/* 370 */ 's', '1', '2', 0,
/* 374 */ 'D', '1', '6', '_', 'D', '1', '8', '_', 'D', '2', '0', '_', 'D', '2', '2', 0,
/* 390 */ 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', '_', 'D', '2', '2', 0,
/* 406 */ 'd', '2', '2', 0,
/* 410 */ 's', '2', '2', 0,
/* 414 */ 'D', '0', '_', 'D', '2', 0,
/* 420 */ 'D', '0', '_', 'D', '1', '_', 'D', '2', 0,
/* 429 */ 'Q', '1', '_', 'Q', '2', 0,
/* 435 */ 'd', '2', 0,
/* 438 */ 'q', '2', 0,
/* 441 */ 'm', 'v', 'f', 'r', '2', 0,
/* 447 */ 's', '2', 0,
/* 450 */ 'f', 'p', 'i', 'n', 's', 't', '2', 0,
/* 458 */ 'D', '7', '_', 'D', '9', '_', 'D', '1', '1', '_', 'D', '1', '3', 0,
/* 472 */ 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', 0,
/* 484 */ 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', 0,
/* 500 */ 'd', '1', '3', 0,
/* 504 */ 'q', '1', '3', 0,
/* 508 */ 's', '1', '3', 0,
/* 512 */ 'D', '1', '7', '_', 'D', '1', '9', '_', 'D', '2', '1', '_', 'D', '2', '3', 0,
/* 528 */ 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', 0,
/* 540 */ 'd', '2', '3', 0,
/* 544 */ 's', '2', '3', 0,
/* 548 */ 'D', '1', '_', 'D', '3', 0,
/* 554 */ 'D', '1', '_', 'D', '2', '_', 'D', '3', 0,
/* 563 */ 'Q', '0', '_', 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', 0,
/* 575 */ 'R', '2', '_', 'R', '3', 0,
/* 581 */ 'd', '3', 0,
/* 584 */ 'q', '3', 0,
/* 587 */ 'r', '3', 0,
/* 590 */ 's', '3', 0,
/* 593 */ 'D', '8', '_', 'D', '1', '0', '_', 'D', '1', '2', '_', 'D', '1', '4', 0,
/* 608 */ 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', '_', 'D', '1', '4', 0,
/* 624 */ 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', 0,
/* 640 */ 'd', '1', '4', 0,
/* 644 */ 'q', '1', '4', 0,
/* 648 */ 's', '1', '4', 0,
/* 652 */ 'D', '1', '8', '_', 'D', '2', '0', '_', 'D', '2', '2', '_', 'D', '2', '4', 0,
/* 668 */ 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', '_', 'D', '2', '4', 0,
/* 684 */ 'd', '2', '4', 0,
/* 688 */ 's', '2', '4', 0,
/* 692 */ 'D', '0', '_', 'D', '2', '_', 'D', '4', 0,
/* 701 */ 'D', '1', '_', 'D', '2', '_', 'D', '3', '_', 'D', '4', 0,
/* 713 */ 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', 0,
/* 725 */ 'd', '4', 0,
/* 728 */ 'q', '4', 0,
/* 731 */ 'r', '4', 0,
/* 734 */ 's', '4', 0,
/* 737 */ 'D', '9', '_', 'D', '1', '1', '_', 'D', '1', '3', '_', 'D', '1', '5', 0,
/* 752 */ 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', 0,
/* 764 */ 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', '_', 'Q', '1', '5', 0,
/* 780 */ 'd', '1', '5', 0,
/* 784 */ 'q', '1', '5', 0,
/* 788 */ 's', '1', '5', 0,
/* 792 */ 'D', '1', '9', '_', 'D', '2', '1', '_', 'D', '2', '3', '_', 'D', '2', '5', 0,
/* 808 */ 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', 0,
/* 820 */ 'd', '2', '5', 0,
/* 824 */ 's', '2', '5', 0,
/* 828 */ 'D', '1', '_', 'D', '3', '_', 'D', '5', 0,
/* 837 */ 'D', '3', '_', 'D', '4', '_', 'D', '5', 0,
/* 846 */ 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', 0,
/* 858 */ 'R', '4', '_', 'R', '5', 0,
/* 864 */ 'd', '5', 0,
/* 867 */ 'q', '5', 0,
/* 870 */ 'r', '5', 0,
/* 873 */ 's', '5', 0,
/* 876 */ 'D', '1', '0', '_', 'D', '1', '2', '_', 'D', '1', '4', '_', 'D', '1', '6', 0,
/* 892 */ 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', '_', 'D', '1', '6', 0,
/* 908 */ 'd', '1', '6', 0,
/* 912 */ 's', '1', '6', 0,
/* 916 */ 'D', '2', '0', '_', 'D', '2', '2', '_', 'D', '2', '4', '_', 'D', '2', '6', 0,
/* 932 */ 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', '_', 'D', '2', '6', 0,
/* 948 */ 'd', '2', '6', 0,
/* 952 */ 's', '2', '6', 0,
/* 956 */ 'D', '0', '_', 'D', '2', '_', 'D', '4', '_', 'D', '6', 0,
/* 968 */ 'D', '3', '_', 'D', '4', '_', 'D', '5', '_', 'D', '6', 0,
/* 980 */ 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', 0,
/* 992 */ 'd', '6', 0,
/* 995 */ 'q', '6', 0,
/* 998 */ 'r', '6', 0,
/* 1001 */ 's', '6', 0,
/* 1004 */ 'D', '1', '1', '_', 'D', '1', '3', '_', 'D', '1', '5', '_', 'D', '1', '7', 0,
/* 1020 */ 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', 0,
/* 1032 */ 'd', '1', '7', 0,
/* 1036 */ 's', '1', '7', 0,
/* 1040 */ 'D', '2', '1', '_', 'D', '2', '3', '_', 'D', '2', '5', '_', 'D', '2', '7', 0,
/* 1056 */ 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', 0,
/* 1068 */ 'd', '2', '7', 0,
/* 1072 */ 's', '2', '7', 0,
/* 1076 */ 'D', '1', '_', 'D', '3', '_', 'D', '5', '_', 'D', '7', 0,
/* 1088 */ 'D', '5', '_', 'D', '6', '_', 'D', '7', 0,
/* 1097 */ 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', 0,
/* 1109 */ 'R', '6', '_', 'R', '7', 0,
/* 1115 */ 'd', '7', 0,
/* 1118 */ 'q', '7', 0,
/* 1121 */ 'r', '7', 0,
/* 1124 */ 's', '7', 0,
/* 1127 */ 'D', '1', '2', '_', 'D', '1', '4', '_', 'D', '1', '6', '_', 'D', '1', '8', 0,
/* 1143 */ 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', '_', 'D', '1', '8', 0,
/* 1159 */ 'd', '1', '8', 0,
/* 1163 */ 's', '1', '8', 0,
/* 1167 */ 'D', '2', '2', '_', 'D', '2', '4', '_', 'D', '2', '6', '_', 'D', '2', '8', 0,
/* 1183 */ 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', '_', 'D', '2', '8', 0,
/* 1199 */ 'd', '2', '8', 0,
/* 1203 */ 's', '2', '8', 0,
/* 1207 */ 'D', '2', '_', 'D', '4', '_', 'D', '6', '_', 'D', '8', 0,
/* 1219 */ 'D', '5', '_', 'D', '6', '_', 'D', '7', '_', 'D', '8', 0,
/* 1231 */ 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', 0,
/* 1243 */ 'd', '8', 0,
/* 1246 */ 'q', '8', 0,
/* 1249 */ 'r', '8', 0,
/* 1252 */ 's', '8', 0,
/* 1255 */ 'D', '1', '3', '_', 'D', '1', '5', '_', 'D', '1', '7', '_', 'D', '1', '9', 0,
/* 1271 */ 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', 0,
/* 1283 */ 'd', '1', '9', 0,
/* 1287 */ 's', '1', '9', 0,
/* 1291 */ 'D', '2', '3', '_', 'D', '2', '5', '_', 'D', '2', '7', '_', 'D', '2', '9', 0,
/* 1307 */ 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', 0,
/* 1319 */ 'd', '2', '9', 0,
/* 1323 */ 's', '2', '9', 0,
/* 1327 */ 'D', '3', '_', 'D', '5', '_', 'D', '7', '_', 'D', '9', 0,
/* 1339 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', 0,
/* 1348 */ 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', 0,
/* 1360 */ 'R', '8', '_', 'R', '9', 0,
/* 1366 */ 'd', '9', 0,
/* 1369 */ 'q', '9', 0,
/* 1372 */ 'r', '9', 0,
/* 1375 */ 's', '9', 0,
/* 1378 */ 'R', '1', '2', '_', 'S', 'P', 0,
/* 1385 */ 'p', 'c', 0,
/* 1388 */ 'f', 'p', 's', 'c', 'r', '_', 'n', 'z', 'c', 'v', 'q', 'c', 0,
/* 1401 */ 'f', 'p', 'e', 'x', 'c', 0,
/* 1407 */ 'f', 'p', 's', 'i', 'd', 0,
/* 1413 */ 'i', 't', 's', 't', 'a', 't', 'e', 0,
/* 1421 */ 's', 'p', 0,
/* 1424 */ 'f', 'p', 's', 'c', 'r', 0,
/* 1430 */ 'l', 'r', 0,
/* 1433 */ 'v', 'p', 'r', 0,
/* 1437 */ 'a', 'p', 's', 'r', 0,
/* 1442 */ 'c', 'p', 's', 'r', 0,
/* 1447 */ 's', 'p', 's', 'r', 0,
/* 1452 */ 'z', 'r', 0,
/* 1455 */ 'f', 'p', 'c', 'x', 't', 'n', 's', 0,
/* 1463 */ 'f', 'p', 'c', 'x', 't', 's', 0,
/* 1470 */ 'f', 'p', 'i', 'n', 's', 't', 0,
/* 1477 */ 'f', 'p', 's', 'c', 'r', '_', 'n', 'z', 'c', 'v', 0,
/* 1488 */ 'a', 'p', 's', 'r', '_', 'n', 'z', 'c', 'v', 0,
};
static const uint16_t RegAsmOffsetNoRegAltName[] = {
1437, 1488, 1442, 1455, 1463, 1401, 1470, 1424, 1477, 1388, 1407, 1413, 1430, 1385,
1421, 1447, 1433, 1452, 135, 299, 435, 581, 725, 864, 992, 1115, 1243, 1366,
39, 199, 358, 500, 640, 780, 908, 1032, 1159, 1283, 87, 243, 406, 540,
684, 820, 948, 1068, 1199, 1319, 127, 279, 450, 144, 305, 441, 138, 141,
302, 438, 584, 728, 867, 995, 1118, 1246, 1369, 43, 203, 362, 504, 644,
784, 147, 308, 444, 587, 731, 870, 998, 1121, 1249, 1372, 47, 207, 366,
150, 311, 447, 590, 734, 873, 1001, 1124, 1252, 1375, 51, 211, 370, 508,
648, 788, 912, 1036, 1163, 1287, 91, 247, 410, 544, 688, 824, 952, 1072,
1203, 1323, 131, 283, 414, 548, 695, 831, 962, 1082, 1213, 1333, 6, 170,
320, 464, 600, 744, 884, 1012, 1135, 1263, 63, 235, 382, 520, 660, 800,
924, 1048, 1175, 1299, 103, 271, 287, 429, 569, 719, 852, 986, 1103, 1237,
1354, 32, 183, 350, 492, 632, 772, 563, 713, 846, 980, 1097, 1231, 1348,
26, 177, 343, 484, 624, 764, 293, 575, 858, 1109, 1360, 191, 1378, 420,
554, 704, 837, 971, 1088, 1222, 1339, 16, 153, 331, 472, 612, 752, 896,
1020, 1147, 1271, 75, 215, 394, 528, 672, 808, 936, 1056, 1187, 1307, 115,
251, 692, 828, 959, 1079, 1210, 1330, 3, 167, 317, 461, 596, 740, 880,
1008, 1131, 1259, 59, 231, 378, 516, 656, 796, 920, 1044, 1171, 1295, 99,
267, 956, 1076, 1207, 1327, 0, 164, 314, 458, 593, 737, 876, 1004, 1127,
1255, 55, 227, 374, 512, 652, 792, 916, 1040, 1167, 1291, 95, 263, 423,
707, 974, 1225, 19, 335, 616, 900, 1151, 79, 398, 676, 940, 1191, 119,
701, 968, 1219, 13, 328, 608, 892, 1143, 71, 390, 668, 932, 1183, 111,
};
static const char AsmStrsRegNamesRaw[] = {
/* 0 */ 'r', '1', '3', 0,
/* 4 */ 'r', '1', '4', 0,
/* 8 */ 'r', '1', '5', 0,
};
static const uint8_t RegAsmOffsetRegNamesRaw[] = {
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 4, 8,
0, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3,
};
switch(AltIdx) {
default: llvm_unreachable("Invalid register alt name index!");
case ARM::NoRegAltName:
assert(*(AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1]) &&
"Invalid alt name index for register!");
return AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[RegNo-1];
case ARM::RegNamesRaw:
if (!*(AsmStrsRegNamesRaw+RegAsmOffsetRegNamesRaw[RegNo-1]))
return getRegisterName(RegNo, ARM::NoRegAltName);
return AsmStrsRegNamesRaw+RegAsmOffsetRegNamesRaw[RegNo-1];
}
}
#ifdef PRINT_ALIAS_INSTR
#undef PRINT_ALIAS_INSTR
bool ARMInstPrinter::printAliasInstr(const MCInst *MI, const MCSubtargetInfo &STI, raw_ostream &OS) {
static const PatternsForOpcode OpToPatterns[] = {
{ARM::DSB, 0, 3 },
{ARM::HINT, 3, 8 },
{ARM::MVE_VMLADAVas16, 11, 1 },
{ARM::MVE_VMLADAVas32, 12, 1 },
{ARM::MVE_VMLADAVas8, 13, 1 },
{ARM::MVE_VMLADAVau16, 14, 1 },
{ARM::MVE_VMLADAVau32, 15, 1 },
{ARM::MVE_VMLADAVau8, 16, 1 },
{ARM::MVE_VMLADAVs16, 17, 1 },
{ARM::MVE_VMLADAVs32, 18, 1 },
{ARM::MVE_VMLADAVs8, 19, 1 },
{ARM::MVE_VMLADAVu16, 20, 1 },
{ARM::MVE_VMLADAVu32, 21, 1 },
{ARM::MVE_VMLADAVu8, 22, 1 },
{ARM::MVE_VMLALDAVas16, 23, 1 },
{ARM::MVE_VMLALDAVas32, 24, 1 },
{ARM::MVE_VMLALDAVau16, 25, 1 },
{ARM::MVE_VMLALDAVau32, 26, 1 },
{ARM::MVE_VMLALDAVs16, 27, 1 },
{ARM::MVE_VMLALDAVs32, 28, 1 },
{ARM::MVE_VMLALDAVu16, 29, 1 },
{ARM::MVE_VMLALDAVu32, 30, 1 },
{ARM::MVE_VORR, 31, 1 },
{ARM::MVE_VRMLALDAVHas32, 32, 1 },
{ARM::MVE_VRMLALDAVHau32, 33, 1 },
{ARM::MVE_VRMLALDAVHs32, 34, 1 },
{ARM::MVE_VRMLALDAVHu32, 35, 1 },
{ARM::t2CSINC, 36, 2 },
{ARM::t2CSINV, 38, 2 },
{ARM::t2CSNEG, 40, 1 },
{ARM::t2DSB, 41, 3 },
{ARM::t2HINT, 44, 8 },
{ARM::t2SUBS_PC_LR, 52, 1 },
{ARM::tHINT, 53, 6 },
};
static const AliasPattern Patterns[] = {
// ARM::DSB - 0
{0, 0, 1, 3 },
{5, 3, 1, 3 },
{11, 6, 1, 3 },
// ARM::HINT - 3
{15, 9, 3, 3 },
{23, 12, 3, 3 },
{33, 15, 3, 3 },
{41, 18, 3, 3 },
{49, 21, 3, 3 },
{57, 24, 3, 3 },
{66, 27, 3, 3 },
{74, 30, 3, 3 },
// ARM::MVE_VMLADAVas16 - 11
{83, 33, 6, 6 },
// ARM::MVE_VMLADAVas32 - 12
{109, 39, 6, 6 },
// ARM::MVE_VMLADAVas8 - 13
{135, 45, 6, 6 },
// ARM::MVE_VMLADAVau16 - 14
{160, 51, 6, 6 },
// ARM::MVE_VMLADAVau32 - 15
{186, 57, 6, 6 },
// ARM::MVE_VMLADAVau8 - 16
{212, 63, 6, 6 },
// ARM::MVE_VMLADAVs16 - 17
{237, 69, 5, 5 },
// ARM::MVE_VMLADAVs32 - 18
{262, 74, 5, 5 },
// ARM::MVE_VMLADAVs8 - 19
{287, 79, 5, 5 },
// ARM::MVE_VMLADAVu16 - 20
{311, 84, 5, 5 },
// ARM::MVE_VMLADAVu32 - 21
{336, 89, 5, 5 },
// ARM::MVE_VMLADAVu8 - 22
{361, 94, 5, 5 },
// ARM::MVE_VMLALDAVas16 - 23
{385, 99, 8, 8 },
// ARM::MVE_VMLALDAVas32 - 24
{416, 107, 8, 8 },
// ARM::MVE_VMLALDAVau16 - 25
{447, 115, 8, 8 },
// ARM::MVE_VMLALDAVau32 - 26
{478, 123, 8, 8 },
// ARM::MVE_VMLALDAVs16 - 27
{509, 131, 6, 6 },
// ARM::MVE_VMLALDAVs32 - 28
{539, 137, 6, 6 },
// ARM::MVE_VMLALDAVu16 - 29
{569, 143, 6, 6 },
// ARM::MVE_VMLALDAVu32 - 30
{599, 149, 6, 6 },
// ARM::MVE_VORR - 31
{629, 155, 6, 5 },
// ARM::MVE_VRMLALDAVHas32 - 32
{645, 160, 8, 8 },
// ARM::MVE_VRMLALDAVHau32 - 33
{678, 168, 8, 8 },
// ARM::MVE_VRMLALDAVHs32 - 34
{711, 176, 6, 6 },
// ARM::MVE_VRMLALDAVHu32 - 35
{743, 182, 6, 6 },
// ARM::t2CSINC - 36
{775, 188, 4, 4 },
{789, 192, 4, 4 },
// ARM::t2CSINV - 38
{807, 196, 4, 4 },
{822, 200, 4, 4 },
// ARM::t2CSNEG - 40
{840, 204, 4, 4 },
// ARM::t2DSB - 41
{0, 208, 3, 6 },
{5, 214, 3, 6 },
{858, 220, 3, 2 },
// ARM::t2HINT - 44
{866, 222, 3, 3 },
{876, 225, 3, 3 },
{888, 228, 3, 3 },
{898, 231, 3, 3 },
{908, 234, 3, 3 },
{918, 237, 3, 4 },
{929, 241, 3, 4 },
{74, 245, 3, 3 },
// ARM::t2SUBS_PC_LR - 52
{939, 248, 3, 4 },
// ARM::tHINT - 53
{15, 252, 3, 3 },
{23, 255, 3, 3 },
{33, 258, 3, 3 },
{41, 261, 3, 3 },
{49, 264, 3, 3 },
{57, 267, 3, 4 },
};
static const AliasPatternCond Conds[] = {
// (DSB 0) - 0
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureDB},
// (DSB 4) - 3
{AliasPatternCond::K_Imm, uint32_t(4)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureDB},
// (DSB 12) - 6
{AliasPatternCond::K_Imm, uint32_t(12)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureDFB},
// (HINT 0, pred:$p) - 9
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (HINT 1, pred:$p) - 12
{AliasPatternCond::K_Imm, uint32_t(1)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (HINT 2, pred:$p) - 15
{AliasPatternCond::K_Imm, uint32_t(2)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (HINT 3, pred:$p) - 18
{AliasPatternCond::K_Imm, uint32_t(3)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (HINT 4, pred:$p) - 21
{AliasPatternCond::K_Imm, uint32_t(4)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (HINT 5, pred:$p) - 24
{AliasPatternCond::K_Imm, uint32_t(5)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV8Ops},
// (HINT 16, pred:$p) - 27
{AliasPatternCond::K_Imm, uint32_t(16)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureRAS},
// (HINT 20, pred:$p) - 30
{AliasPatternCond::K_Imm, uint32_t(20)},
{AliasPatternCond::K_NegFeature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6KOps},
// (MVE_VMLADAVas16 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 33
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVas32 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 39
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVas8 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 45
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVau16 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 51
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVau32 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 57
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVau8 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 63
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVs16 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 69
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVs32 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 74
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVs8 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 79
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVu16 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 84
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVu32 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 89
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLADAVu8 tGPREven:$RdaDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 94
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVas16 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 99
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVas32 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 107
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVau16 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 115
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVau32 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 123
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVs16 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 131
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVs32 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 137
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVu16 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 143
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VMLALDAVu32 tGPREven:$RdaLoDest, tGPROdd:$RdaHiDest, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 149
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VORR MQPR:$Qd, MQPR:$Qm, MQPR:$Qm, vpred_r:$vp) - 155
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_TiedReg, 1},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VRMLALDAVHas32 tGPREven:$RdaLo, tGPROdd:$RdaHi, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 160
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VRMLALDAVHau32 tGPREven:$RdaLo, tGPROdd:$RdaHi, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 168
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_Ignore, 0},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VRMLALDAVHs32 tGPREven:$RdaLo, tGPROdd:$RdaHi, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 176
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (MVE_VRMLALDAVHu32 tGPREven:$RdaLo, tGPROdd:$RdaHi, MQPR:$Qn, MQPR:$Qm, vpred_n:$vp) - 182
{AliasPatternCond::K_RegClass, ARM::tGPREvenRegClassID},
{AliasPatternCond::K_RegClass, ARM::tGPROddRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::MQPRRegClassID},
{AliasPatternCond::K_Feature, ARM::HasMVEIntegerOps},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
// (t2CSINC rGPR:$Rd, ZR, ZR, pred_noal_inv:$fcond) - 188
{AliasPatternCond::K_RegClass, ARM::rGPRRegClassID},
{AliasPatternCond::K_Reg, ARM::ZR},
{AliasPatternCond::K_Reg, ARM::ZR},
{AliasPatternCond::K_Feature, ARM::HasV8_1MMainlineOps},
// (t2CSINC rGPR:$Rd, GPRwithZRnosp:$Rn, GPRwithZRnosp:$Rn, pred_noal_inv:$fcond) - 192
{AliasPatternCond::K_RegClass, ARM::rGPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::GPRwithZRnospRegClassID},
{AliasPatternCond::K_TiedReg, 1},
{AliasPatternCond::K_Feature, ARM::HasV8_1MMainlineOps},
// (t2CSINV rGPR:$Rd, ZR, ZR, pred_noal_inv:$fcond) - 196
{AliasPatternCond::K_RegClass, ARM::rGPRRegClassID},
{AliasPatternCond::K_Reg, ARM::ZR},
{AliasPatternCond::K_Reg, ARM::ZR},
{AliasPatternCond::K_Feature, ARM::HasV8_1MMainlineOps},
// (t2CSINV rGPR:$Rd, GPRwithZRnosp:$Rn, GPRwithZRnosp:$Rn, pred_noal_inv:$fcond) - 200
{AliasPatternCond::K_RegClass, ARM::rGPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::GPRwithZRnospRegClassID},
{AliasPatternCond::K_TiedReg, 1},
{AliasPatternCond::K_Feature, ARM::HasV8_1MMainlineOps},
// (t2CSNEG rGPR:$Rd, GPRwithZRnosp:$Rn, GPRwithZRnosp:$Rn, pred_noal_inv:$fcond) - 204
{AliasPatternCond::K_RegClass, ARM::rGPRRegClassID},
{AliasPatternCond::K_RegClass, ARM::GPRwithZRnospRegClassID},
{AliasPatternCond::K_TiedReg, 1},
{AliasPatternCond::K_Feature, ARM::HasV8_1MMainlineOps},
// (t2DSB 0, 14, 0) - 208
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Imm, uint32_t(14)},
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Feature, ARM::FeatureDB},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2DSB 4, 14, 0) - 214
{AliasPatternCond::K_Imm, uint32_t(4)},
{AliasPatternCond::K_Imm, uint32_t(14)},
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Feature, ARM::FeatureDB},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2DSB 12, pred:$p) - 220
{AliasPatternCond::K_Imm, uint32_t(12)},
{AliasPatternCond::K_Feature, ARM::FeatureDFB},
// (t2HINT 0, pred:$p) - 222
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2HINT 1, pred:$p) - 225
{AliasPatternCond::K_Imm, uint32_t(1)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2HINT 2, pred:$p) - 228
{AliasPatternCond::K_Imm, uint32_t(2)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2HINT 3, pred:$p) - 231
{AliasPatternCond::K_Imm, uint32_t(3)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2HINT 4, pred:$p) - 234
{AliasPatternCond::K_Imm, uint32_t(4)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2HINT 5, pred:$p) - 237
{AliasPatternCond::K_Imm, uint32_t(5)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
{AliasPatternCond::K_Feature, ARM::HasV8Ops},
// (t2HINT 16, pred:$p) - 241
{AliasPatternCond::K_Imm, uint32_t(16)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
{AliasPatternCond::K_Feature, ARM::FeatureRAS},
// (t2HINT 20, pred:$p) - 245
{AliasPatternCond::K_Imm, uint32_t(20)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
// (t2SUBS_PC_LR 0, pred:$p) - 248
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
{AliasPatternCond::K_Feature, ARM::FeatureVirtualization},
// (tHINT 0, pred:$p) - 252
{AliasPatternCond::K_Imm, uint32_t(0)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6MOps},
// (tHINT 1, pred:$p) - 255
{AliasPatternCond::K_Imm, uint32_t(1)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6MOps},
// (tHINT 2, pred:$p) - 258
{AliasPatternCond::K_Imm, uint32_t(2)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6MOps},
// (tHINT 3, pred:$p) - 261
{AliasPatternCond::K_Imm, uint32_t(3)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6MOps},
// (tHINT 4, pred:$p) - 264
{AliasPatternCond::K_Imm, uint32_t(4)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::HasV6MOps},
// (tHINT 5, pred:$p) - 267
{AliasPatternCond::K_Imm, uint32_t(5)},
{AliasPatternCond::K_Feature, ARM::ModeThumb},
{AliasPatternCond::K_Feature, ARM::FeatureThumb2},
{AliasPatternCond::K_Feature, ARM::HasV8Ops},
};
static const char AsmStrings[] =
/* 0 */ "ssbb\0"
/* 5 */ "pssbb\0"
/* 11 */ "dfb\0"
/* 15 */ "nop$\xFF\x02\x01\0"
/* 23 */ "yield$\xFF\x02\x01\0"
/* 33 */ "wfe$\xFF\x02\x01\0"
/* 41 */ "wfi$\xFF\x02\x01\0"
/* 49 */ "sev$\xFF\x02\x01\0"
/* 57 */ "sevl$\xFF\x02\x01\0"
/* 66 */ "esb$\xFF\x02\x01\0"
/* 74 */ "csdb$\xFF\x02\x01\0"
/* 83 */ "vmlava$\xFF\x05\x02.s16 $\x01, $\x03, $\x04\0"
/* 109 */ "vmlava$\xFF\x05\x02.s32 $\x01, $\x03, $\x04\0"
/* 135 */ "vmlava$\xFF\x05\x02.s8 $\x01, $\x03, $\x04\0"
/* 160 */ "vmlava$\xFF\x05\x02.u16 $\x01, $\x03, $\x04\0"
/* 186 */ "vmlava$\xFF\x05\x02.u32 $\x01, $\x03, $\x04\0"
/* 212 */ "vmlava$\xFF\x05\x02.u8 $\x01, $\x03, $\x04\0"
/* 237 */ "vmlav$\xFF\x04\x02.s16 $\x01, $\x02, $\x03\0"
/* 262 */ "vmlav$\xFF\x04\x02.s32 $\x01, $\x02, $\x03\0"
/* 287 */ "vmlav$\xFF\x04\x02.s8 $\x01, $\x02, $\x03\0"
/* 311 */ "vmlav$\xFF\x04\x02.u16 $\x01, $\x02, $\x03\0"
/* 336 */ "vmlav$\xFF\x04\x02.u32 $\x01, $\x02, $\x03\0"
/* 361 */ "vmlav$\xFF\x04\x02.u8 $\x01, $\x02, $\x03\0"
/* 385 */ "vmlalva$\xFF\x07\x02.s16 $\x01, $\x02, $\x05, $\x06\0"
/* 416 */ "vmlalva$\xFF\x07\x02.s32 $\x01, $\x02, $\x05, $\x06\0"
/* 447 */ "vmlalva$\xFF\x07\x02.u16 $\x01, $\x02, $\x05, $\x06\0"
/* 478 */ "vmlalva$\xFF\x07\x02.u32 $\x01, $\x02, $\x05, $\x06\0"
/* 509 */ "vmlalv$\xFF\x05\x02.s16 $\x01, $\x02, $\x03, $\x04\0"
/* 539 */ "vmlalv$\xFF\x05\x02.s32 $\x01, $\x02, $\x03, $\x04\0"
/* 569 */ "vmlalv$\xFF\x05\x02.u16 $\x01, $\x02, $\x03, $\x04\0"
/* 599 */ "vmlalv$\xFF\x05\x02.u32 $\x01, $\x02, $\x03, $\x04\0"
/* 629 */ "vmov$\xFF\x04\x02 $\x01, $\x02\0"
/* 645 */ "vrmlalvha$\xFF\x07\x02.s32 $\x01, $\x02, $\x05, $\x06\0"
/* 678 */ "vrmlalvha$\xFF\x07\x02.u32 $\x01, $\x02, $\x05, $\x06\0"
/* 711 */ "vrmlalvh$\xFF\x05\x02.s32 $\x01, $\x02, $\x03, $\x04\0"
/* 743 */ "vrmlalvh$\xFF\x05\x02.u32 $\x01, $\x02, $\x03, $\x04\0"
/* 775 */ "cset $\x01, $\xFF\x04\x03\0"
/* 789 */ "cinc $\x01, $\x02, $\xFF\x04\x03\0"
/* 807 */ "csetm $\x01, $\xFF\x04\x03\0"
/* 822 */ "cinv $\x01, $\x02, $\xFF\x04\x03\0"
/* 840 */ "cneg $\x01, $\x02, $\xFF\x04\x03\0"
/* 858 */ "dfb$\xFF\x02\x01\0"
/* 866 */ "nop$\xFF\x02\x01.w\0"
/* 876 */ "yield$\xFF\x02\x01.w\0"
/* 888 */ "wfe$\xFF\x02\x01.w\0"
/* 898 */ "wfi$\xFF\x02\x01.w\0"
/* 908 */ "sev$\xFF\x02\x01.w\0"
/* 918 */ "sevl$\xFF\x02\x01.w\0"
/* 929 */ "esb$\xFF\x02\x01.w\0"
/* 939 */ "eret$\xFF\x02\x01\0"
;
#ifndef NDEBUG
static struct SortCheck {
SortCheck(ArrayRef<PatternsForOpcode> OpToPatterns) {
assert(std::is_sorted(
OpToPatterns.begin(), OpToPatterns.end(),
[](const PatternsForOpcode &L, const PatternsForOpcode &R) {
return L.Opcode < R.Opcode;
}) &&
"tablegen failed to sort opcode patterns");
}
} sortCheckVar(OpToPatterns);
#endif
AliasMatchingData M {
makeArrayRef(OpToPatterns),
makeArrayRef(Patterns),
makeArrayRef(Conds),
StringRef(AsmStrings, array_lengthof(AsmStrings)),
nullptr,
};
const char *AsmString = matchAliasPatterns(MI, &STI, M);
if (!AsmString) return false;
unsigned I = 0;
while (AsmString[I] != ' ' && AsmString[I] != '\t' &&
AsmString[I] != '$' && AsmString[I] != '\0')
++I;
OS << '\t' << StringRef(AsmString, I);
if (AsmString[I] != '\0') {
if (AsmString[I] == ' ' || AsmString[I] == '\t') {
OS << '\t';
++I;
}
do {
if (AsmString[I] == '$') {
++I;
if (AsmString[I] == (char)0xff) {
++I;
int OpIdx = AsmString[I++] - 1;
int PrintMethodIdx = AsmString[I++] - 1;
printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, STI, OS);
} else
printOperand(MI, unsigned(AsmString[I++]) - 1, STI, OS);
} else {
OS << AsmString[I++];
}
} while (AsmString[I] != '\0');
}
return true;
}
void ARMInstPrinter::printCustomAliasOperand(
const MCInst *MI, unsigned OpIdx,
unsigned PrintMethodIdx,
const MCSubtargetInfo &STI,
raw_ostream &OS) {
switch (PrintMethodIdx) {
default:
llvm_unreachable("Unknown PrintMethod kind");
break;
case 0:
printPredicateOperand(MI, OpIdx, STI, OS);
break;
case 1:
printVPTPredicateOperand(MI, OpIdx, STI, OS);
break;
case 2:
printMandatoryInvertedPredicateOperand(MI, OpIdx, STI, OS);
break;
}
}
#endif // PRINT_ALIAS_INSTR