blob: 91b3173d13887bdfa428d9353aa95887d39b4b31 [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|* Subtarget Enumeration Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
#ifdef GET_SUBTARGETINFO_ENUM
#undef GET_SUBTARGETINFO_ENUM
namespace llvm {
namespace AArch64 {
enum {
FeatureAES = 0,
FeatureAM = 1,
FeatureAggressiveFMA = 2,
FeatureAltFPCmp = 3,
FeatureAlternateSExtLoadCVTF32Pattern = 4,
FeatureArithmeticBccFusion = 5,
FeatureArithmeticCbzFusion = 6,
FeatureBalanceFPOps = 7,
FeatureBranchTargetId = 8,
FeatureCCIDX = 9,
FeatureCCPP = 10,
FeatureCRC = 11,
FeatureCacheDeepPersist = 12,
FeatureCallSavedX8 = 13,
FeatureCallSavedX9 = 14,
FeatureCallSavedX10 = 15,
FeatureCallSavedX11 = 16,
FeatureCallSavedX12 = 17,
FeatureCallSavedX13 = 18,
FeatureCallSavedX14 = 19,
FeatureCallSavedX15 = 20,
FeatureCallSavedX18 = 21,
FeatureComplxNum = 22,
FeatureCrypto = 23,
FeatureCustomCheapAsMoveHandling = 24,
FeatureDIT = 25,
FeatureDisableLatencySchedHeuristic = 26,
FeatureDotProd = 27,
FeatureETE = 28,
FeatureExynosCheapAsMoveHandling = 29,
FeatureFMI = 30,
FeatureFP16FML = 31,
FeatureFPARMv8 = 32,
FeatureFRInt3264 = 33,
FeatureForce32BitJumpTables = 34,
FeatureFullFP16 = 35,
FeatureFuseAES = 36,
FeatureFuseAddress = 37,
FeatureFuseArithmeticLogic = 38,
FeatureFuseCCSelect = 39,
FeatureFuseCryptoEOR = 40,
FeatureFuseLiterals = 41,
FeatureJS = 42,
FeatureLOR = 43,
FeatureLSE = 44,
FeatureLSLFast = 45,
FeatureMPAM = 46,
FeatureMTE = 47,
FeatureNEON = 48,
FeatureNV = 49,
FeatureNoNegativeImmediates = 50,
FeaturePA = 51,
FeaturePAN = 52,
FeaturePAN_RWV = 53,
FeaturePMU = 54,
FeaturePerfMon = 55,
FeaturePostRAScheduler = 56,
FeaturePredRes = 57,
FeaturePredictableSelectIsExpensive = 58,
FeaturePsUAO = 59,
FeatureRAS = 60,
FeatureRASv8_4 = 61,
FeatureRCPC = 62,
FeatureRCPC_IMMO = 63,
FeatureRDM = 64,
FeatureRandGen = 65,
FeatureReserveX1 = 66,
FeatureReserveX2 = 67,
FeatureReserveX3 = 68,
FeatureReserveX4 = 69,
FeatureReserveX5 = 70,
FeatureReserveX6 = 71,
FeatureReserveX7 = 72,
FeatureReserveX9 = 73,
FeatureReserveX10 = 74,
FeatureReserveX11 = 75,
FeatureReserveX12 = 76,
FeatureReserveX13 = 77,
FeatureReserveX14 = 78,
FeatureReserveX15 = 79,
FeatureReserveX18 = 80,
FeatureReserveX20 = 81,
FeatureReserveX21 = 82,
FeatureReserveX22 = 83,
FeatureReserveX23 = 84,
FeatureReserveX24 = 85,
FeatureReserveX25 = 86,
FeatureReserveX26 = 87,
FeatureReserveX27 = 88,
FeatureReserveX28 = 89,
FeatureSB = 90,
FeatureSEL2 = 91,
FeatureSHA2 = 92,
FeatureSHA3 = 93,
FeatureSM4 = 94,
FeatureSPE = 95,
FeatureSSBS = 96,
FeatureSVE = 97,
FeatureSVE2 = 98,
FeatureSVE2AES = 99,
FeatureSVE2BitPerm = 100,
FeatureSVE2SHA3 = 101,
FeatureSVE2SM4 = 102,
FeatureSlowMisaligned128Store = 103,
FeatureSlowPaired128 = 104,
FeatureSlowSTRQro = 105,
FeatureSpecRestrict = 106,
FeatureStrictAlign = 107,
FeatureTLB_RMI = 108,
FeatureTME = 109,
FeatureTRACEV8_4 = 110,
FeatureTRBE = 111,
FeatureTaggedGlobals = 112,
FeatureUseAA = 113,
FeatureUseEL1ForTP = 114,
FeatureUseEL2ForTP = 115,
FeatureUseEL3ForTP = 116,
FeatureUseRSqrt = 117,
FeatureVH = 118,
FeatureZCRegMove = 119,
FeatureZCZeroing = 120,
FeatureZCZeroingFP = 121,
FeatureZCZeroingFPWorkaround = 122,
FeatureZCZeroingGP = 123,
HasV8_1aOps = 124,
HasV8_2aOps = 125,
HasV8_3aOps = 126,
HasV8_4aOps = 127,
HasV8_5aOps = 128,
ProcA35 = 129,
ProcA53 = 130,
ProcA55 = 131,
ProcA57 = 132,
ProcA65 = 133,
ProcA72 = 134,
ProcA73 = 135,
ProcA75 = 136,
ProcA76 = 137,
ProcAppleA7 = 138,
ProcAppleA10 = 139,
ProcAppleA11 = 140,
ProcAppleA12 = 141,
ProcAppleA13 = 142,
ProcExynosM3 = 143,
ProcExynosM4 = 144,
ProcFalkor = 145,
ProcKryo = 146,
ProcNeoverseE1 = 147,
ProcNeoverseN1 = 148,
ProcSaphira = 149,
ProcTSV110 = 150,
ProcThunderX = 151,
ProcThunderX2T99 = 152,
ProcThunderXT81 = 153,
ProcThunderXT83 = 154,
ProcThunderXT88 = 155,
NumSubtargetFeatures = 156
};
} // end namespace AArch64
} // end namespace llvm
#endif // GET_SUBTARGETINFO_ENUM
#ifdef GET_SUBTARGETINFO_MC_DESC
#undef GET_SUBTARGETINFO_MC_DESC
namespace llvm {
// Sorted (by key) array of values for CPU features.
extern const llvm::SubtargetFeatureKV AArch64FeatureKV[] = {
{ "a35", "Cortex-A35 ARM processors", AArch64::ProcA35, { { { 0x81000100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "a53", "Cortex-A53 ARM processors", AArch64::ProcA53, { { { 0x181001101800880ULL, 0x2000000000000ULL, 0x0ULL, } } } },
{ "a55", "Cortex-A55 ARM processors", AArch64::ProcA55, { { { 0x4081001908800000ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
{ "a57", "Cortex-A57 ARM processors", AArch64::ProcA57, { { { 0x581021101800880ULL, 0x0ULL, 0x0ULL, } } } },
{ "a65", "Cortex-A65 ARM processors", AArch64::ProcA65, { { { 0x5001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
{ "a72", "Cortex-A72 ARM processors", AArch64::ProcA72, { { { 0x81001100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "a73", "Cortex-A73 ARM processors", AArch64::ProcA73, { { { 0x81001100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "a75", "Cortex-A75 ARM processors", AArch64::ProcA75, { { { 0x4081001908800000ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
{ "a76", "Cortex-A76 ARM processors", AArch64::ProcA76, { { { 0x4001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
{ "aes", "Enable AES support", AArch64::FeatureAES, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "aggressive-fma", "Enable Aggressive FMA for floating-point.", AArch64::FeatureAggressiveFMA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "alternate-sextload-cvt-f32-pattern", "Use alternative pattern for sextload convert to f32", AArch64::FeatureAlternateSExtLoadCVTF32Pattern, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "altnzcv", "Enable alternative NZCV format for floating point comparisons", AArch64::FeatureAltFPCmp, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "am", "Enable v8.4-A Activity Monitors extension", AArch64::FeatureAM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "apple-a10", "Apple A10", AArch64::ProcAppleA10, { { { 0x91091104800870ULL, 0x1c0000000000001ULL, 0x0ULL, } } } },
{ "apple-a11", "Apple A11", AArch64::ProcAppleA11, { { { 0x81011904800070ULL, 0x2180000000000000ULL, 0x0ULL, } } } },
{ "apple-a12", "Apple A12", AArch64::ProcAppleA12, { { { 0x81011904800070ULL, 0x4180000000000000ULL, 0x0ULL, } } } },
{ "apple-a13", "Apple A13", AArch64::ProcAppleA13, { { { 0x81011984800070ULL, 0x8180000020000000ULL, 0x0ULL, } } } },
{ "apple-a7", "Apple A7 (the CPU formerly known as Cyclone)", AArch64::ProcAppleA7, { { { 0x81011104800070ULL, 0x580000000000000ULL, 0x0ULL, } } } },
{ "arith-bcc-fusion", "CPU fuses arithmetic+bcc operations", AArch64::FeatureArithmeticBccFusion, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "arith-cbz-fusion", "CPU fuses arithmetic + cbz/cbnz operations", AArch64::FeatureArithmeticCbzFusion, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "balance-fp-ops", "balance mix of odd and even D-registers for fp multiply(-accumulate) ops", AArch64::FeatureBalanceFPOps, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "bti", "Enable Branch Target Identification", AArch64::FeatureBranchTargetId, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x10", "Make X10 callee saved.", AArch64::FeatureCallSavedX10, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x11", "Make X11 callee saved.", AArch64::FeatureCallSavedX11, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x12", "Make X12 callee saved.", AArch64::FeatureCallSavedX12, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x13", "Make X13 callee saved.", AArch64::FeatureCallSavedX13, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x14", "Make X14 callee saved.", AArch64::FeatureCallSavedX14, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x15", "Make X15 callee saved.", AArch64::FeatureCallSavedX15, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x18", "Make X18 callee saved.", AArch64::FeatureCallSavedX18, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x8", "Make X8 callee saved.", AArch64::FeatureCallSavedX8, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "call-saved-x9", "Make X9 callee saved.", AArch64::FeatureCallSavedX9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ccdp", "Enable v8.5 Cache Clean to Point of Deep Persistence", AArch64::FeatureCacheDeepPersist, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ccidx", "Enable v8.3-A Extend of the CCSIDR number of sets", AArch64::FeatureCCIDX, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ccpp", "Enable v8.2 data Cache Clean to Point of Persistence", AArch64::FeatureCCPP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "complxnum", "Enable v8.3-A Floating-point complex number support", AArch64::FeatureComplxNum, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "crc", "Enable ARMv8 CRC-32 checksum instructions", AArch64::FeatureCRC, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "crypto", "Enable cryptographic instructions", AArch64::FeatureCrypto, { { { 0x1000000000001ULL, 0x10000000ULL, 0x0ULL, } } } },
{ "custom-cheap-as-move", "Use custom handling of cheap instructions", AArch64::FeatureCustomCheapAsMoveHandling, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "disable-latency-sched-heuristic", "Disable latency scheduling heuristic", AArch64::FeatureDisableLatencySchedHeuristic, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "dit", "Enable v8.4-A Data Independent Timing instructions", AArch64::FeatureDIT, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "dotprod", "Enable dot product support", AArch64::FeatureDotProd, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ete", "Enable Embedded Trace Extension", AArch64::FeatureETE, { { { 0x0ULL, 0x800000000000ULL, 0x0ULL, } } } },
{ "exynos-cheap-as-move", "Use Exynos specific handling of cheap instructions", AArch64::FeatureExynosCheapAsMoveHandling, { { { 0x1000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "exynosm3", "Samsung Exynos-M3 processors", AArch64::ProcExynosM3, { { { 0x58022b420800800ULL, 0x200000000000000ULL, 0x0ULL, } } } },
{ "exynosm4", "Samsung Exynos-M4 processors", AArch64::ProcExynosM4, { { { 0x18022fc28800060ULL, 0x2100000000000000ULL, 0x0ULL, } } } },
{ "falkor", "Qualcomm Falkor processors", AArch64::ProcFalkor, { { { 0x581200101800800ULL, 0x100020000000001ULL, 0x0ULL, } } } },
{ "fmi", "Enable v8.4-A Flag Manipulation Instructions", AArch64::FeatureFMI, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "force-32bit-jump-tables", "Force jump table entries to be 32-bits wide except at MinSize", AArch64::FeatureForce32BitJumpTables, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fp-armv8", "Enable ARMv8 FP", AArch64::FeatureFPARMv8, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fp16fml", "Enable FP16 FML instructions", AArch64::FeatureFP16FML, { { { 0x800000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fptoint", "Enable FRInt[32|64][Z|X] instructions that round a floating-point number to an integer (in FP format) forcing it to fit into a 32- or 64-bit int", AArch64::FeatureFRInt3264, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fullfp16", "Full FP16", AArch64::FeatureFullFP16, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-address", "CPU fuses address generation and memory operations", AArch64::FeatureFuseAddress, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-aes", "CPU fuses AES crypto operations", AArch64::FeatureFuseAES, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-arith-logic", "CPU fuses arithmetic and logic operations", AArch64::FeatureFuseArithmeticLogic, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-crypto-eor", "CPU fuses AES/PMULL and EOR operations", AArch64::FeatureFuseCryptoEOR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-csel", "CPU fuses conditional select operations", AArch64::FeatureFuseCCSelect, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "fuse-literals", "CPU fuses literal generation operations", AArch64::FeatureFuseLiterals, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "jsconv", "Enable v8.3-A JavaScript FP conversion enchancement", AArch64::FeatureJS, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "kryo", "Qualcomm Kryo processors", AArch64::ProcKryo, { { { 0x581200101800800ULL, 0x100000000000000ULL, 0x0ULL, } } } },
{ "lor", "Enables ARM v8.1 Limited Ordering Regions extension", AArch64::FeatureLOR, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "lse", "Enable ARMv8.1 Large System Extension (LSE) atomic instructions", AArch64::FeatureLSE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "lsl-fast", "CPU has a fastpath logical shift of up to 3 places", AArch64::FeatureLSLFast, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mpam", "Enable v8.4-A Memory system Partitioning and Monitoring extension", AArch64::FeatureMPAM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "mte", "Enable Memory Tagging Extension", AArch64::FeatureMTE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "neon", "Enable Advanced SIMD instructions", AArch64::FeatureNEON, { { { 0x100000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "neoversee1", "Neoverse E1 ARM processors", AArch64::ProcNeoverseE1, { { { 0x4001000908800000ULL, 0x2000000100000000ULL, 0x0ULL, } } } },
{ "neoversen1", "Neoverse N1 ARM processors", AArch64::ProcNeoverseN1, { { { 0x4001000908800000ULL, 0x2000000180000000ULL, 0x0ULL, } } } },
{ "no-neg-immediates", "Convert immediates and instructions to their negated or complemented equivalent when the immediate does not fit in the encoding.", AArch64::FeatureNoNegativeImmediates, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "nv", "Enable v8.4-A Nested Virtualization Enchancement", AArch64::FeatureNV, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "pa", "Enable v8.3-A Pointer Authentication enchancement", AArch64::FeaturePA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "pan", "Enables ARM v8.1 Privileged Access-Never extension", AArch64::FeaturePAN, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "pan-rwv", "Enable v8.2 PAN s1e1R and s1e1W Variants", AArch64::FeaturePAN_RWV, { { { 0x10000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "perfmon", "Enable ARMv8 PMUv3 Performance Monitors extension", AArch64::FeaturePerfMon, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "pmu", "Enable v8.4-A PMU extension", AArch64::FeaturePMU, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "predictable-select-expensive", "Prefer likely predicted branches over selects", AArch64::FeaturePredictableSelectIsExpensive, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "predres", "Enable v8.5a execution and data prediction invalidation instructions", AArch64::FeaturePredRes, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "rand", "Enable Random Number generation instructions", AArch64::FeatureRandGen, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ras", "Enable ARMv8 Reliability, Availability and Serviceability Extensions", AArch64::FeatureRAS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "rasv8_4", "Enable v8.4-A Reliability, Availability and Serviceability extension", AArch64::FeatureRASv8_4, { { { 0x1000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "rcpc", "Enable support for RCPC extension", AArch64::FeatureRCPC, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "rcpc-immo", "Enable v8.4-A RCPC instructions with Immediate Offsets", AArch64::FeatureRCPC_IMMO, { { { 0x4000000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "rdm", "Enable ARMv8.1 Rounding Double Multiply Add/Subtract instructions", AArch64::FeatureRDM, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x1", "Reserve X1, making it unavailable as a GPR", AArch64::FeatureReserveX1, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x10", "Reserve X10, making it unavailable as a GPR", AArch64::FeatureReserveX10, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x11", "Reserve X11, making it unavailable as a GPR", AArch64::FeatureReserveX11, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x12", "Reserve X12, making it unavailable as a GPR", AArch64::FeatureReserveX12, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x13", "Reserve X13, making it unavailable as a GPR", AArch64::FeatureReserveX13, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x14", "Reserve X14, making it unavailable as a GPR", AArch64::FeatureReserveX14, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x15", "Reserve X15, making it unavailable as a GPR", AArch64::FeatureReserveX15, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x18", "Reserve X18, making it unavailable as a GPR", AArch64::FeatureReserveX18, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x2", "Reserve X2, making it unavailable as a GPR", AArch64::FeatureReserveX2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x20", "Reserve X20, making it unavailable as a GPR", AArch64::FeatureReserveX20, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x21", "Reserve X21, making it unavailable as a GPR", AArch64::FeatureReserveX21, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x22", "Reserve X22, making it unavailable as a GPR", AArch64::FeatureReserveX22, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x23", "Reserve X23, making it unavailable as a GPR", AArch64::FeatureReserveX23, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x24", "Reserve X24, making it unavailable as a GPR", AArch64::FeatureReserveX24, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x25", "Reserve X25, making it unavailable as a GPR", AArch64::FeatureReserveX25, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x26", "Reserve X26, making it unavailable as a GPR", AArch64::FeatureReserveX26, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x27", "Reserve X27, making it unavailable as a GPR", AArch64::FeatureReserveX27, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x28", "Reserve X28, making it unavailable as a GPR", AArch64::FeatureReserveX28, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x3", "Reserve X3, making it unavailable as a GPR", AArch64::FeatureReserveX3, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x4", "Reserve X4, making it unavailable as a GPR", AArch64::FeatureReserveX4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x5", "Reserve X5, making it unavailable as a GPR", AArch64::FeatureReserveX5, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x6", "Reserve X6, making it unavailable as a GPR", AArch64::FeatureReserveX6, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x7", "Reserve X7, making it unavailable as a GPR", AArch64::FeatureReserveX7, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "reserve-x9", "Reserve X9, making it unavailable as a GPR", AArch64::FeatureReserveX9, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "saphira", "Qualcomm Saphira processors", AArch64::ProcSaphira, { { { 0x581200101800000ULL, 0x8100000080000000ULL, 0x0ULL, } } } },
{ "sb", "Enable v8.5 Speculation Barrier", AArch64::FeatureSB, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sel2", "Enable v8.4-A Secure Exception Level 2 extension", AArch64::FeatureSEL2, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sha2", "Enable SHA1 and SHA256 support", AArch64::FeatureSHA2, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "sha3", "Enable SHA512 and SHA3 support", AArch64::FeatureSHA3, { { { 0x1000000000000ULL, 0x10000000ULL, 0x0ULL, } } } },
{ "slow-misaligned-128store", "Misaligned 128 bit stores are slow", AArch64::FeatureSlowMisaligned128Store, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-paired-128", "Paired 128 bit loads and stores are slow", AArch64::FeatureSlowPaired128, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "slow-strqro-store", "STR of Q register with register offset is slow", AArch64::FeatureSlowSTRQro, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sm4", "Enable SM3 and SM4 support", AArch64::FeatureSM4, { { { 0x1000000000000ULL, 0x0ULL, 0x0ULL, } } } },
{ "spe", "Enable Statistical Profiling extension", AArch64::FeatureSPE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "specrestrict", "Enable architectural speculation restriction", AArch64::FeatureSpecRestrict, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "ssbs", "Enable Speculative Store Bypass Safe bit", AArch64::FeatureSSBS, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "strict-align", "Disallow all unaligned memory access", AArch64::FeatureStrictAlign, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sve", "Enable Scalable Vector Extension (SVE) instructions", AArch64::FeatureSVE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "sve2", "Enable Scalable Vector Extension 2 (SVE2) instructions", AArch64::FeatureSVE2, { { { 0x0ULL, 0x200000000ULL, 0x0ULL, } } } },
{ "sve2-aes", "Enable AES SVE2 instructions", AArch64::FeatureSVE2AES, { { { 0x1ULL, 0x400000000ULL, 0x0ULL, } } } },
{ "sve2-bitperm", "Enable bit permutation SVE2 instructions", AArch64::FeatureSVE2BitPerm, { { { 0x0ULL, 0x400000000ULL, 0x0ULL, } } } },
{ "sve2-sha3", "Enable SHA3 SVE2 instructions", AArch64::FeatureSVE2SHA3, { { { 0x0ULL, 0x420000000ULL, 0x0ULL, } } } },
{ "sve2-sm4", "Enable SM4 SVE2 instructions", AArch64::FeatureSVE2SM4, { { { 0x0ULL, 0x440000000ULL, 0x0ULL, } } } },
{ "tagged-globals", "Use an instruction sequence for taking the address of a global that allows a memory tag in the upper address bits", AArch64::FeatureTaggedGlobals, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "thunderx", "Cavium ThunderX processors", AArch64::ProcThunderX, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "thunderx2t99", "Cavium ThunderX2 processors", AArch64::ProcThunderX2T99, { { { 0x501100100800824ULL, 0x1000000000000000ULL, 0x0ULL, } } } },
{ "thunderxt81", "Cavium ThunderX processors", AArch64::ProcThunderXT81, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "thunderxt83", "Cavium ThunderX processors", AArch64::ProcThunderXT83, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "thunderxt88", "Cavium ThunderX processors", AArch64::ProcThunderXT88, { { { 0x581000100800800ULL, 0x0ULL, 0x0ULL, } } } },
{ "tlb-rmi", "Enable v8.4-A TLB Range and Maintenance Instructions", AArch64::FeatureTLB_RMI, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tme", "Enable Transactional Memory Extension", AArch64::FeatureTME, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tpidr-el1", "Permit use of TPIDR_EL1 for the TLS base", AArch64::FeatureUseEL1ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tpidr-el2", "Permit use of TPIDR_EL2 for the TLS base", AArch64::FeatureUseEL2ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tpidr-el3", "Permit use of TPIDR_EL3 for the TLS base", AArch64::FeatureUseEL3ForTP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tracev8.4", "Enable v8.4-A Trace extension", AArch64::FeatureTRACEV8_4, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "trbe", "Enable Trace Buffer Extension", AArch64::FeatureTRBE, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "tsv110", "HiSilicon TS-V110 processors", AArch64::ProcTSV110, { { { 0x181001989800000ULL, 0x2000000080000000ULL, 0x0ULL, } } } },
{ "uaops", "Enable v8.2 UAO PState", AArch64::FeaturePsUAO, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "use-aa", "Use alias analysis during codegen", AArch64::FeatureUseAA, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "use-postra-scheduler", "Schedule again after register allocation", AArch64::FeaturePostRAScheduler, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "use-reciprocal-square-root", "Use the reciprocal square root approximation", AArch64::FeatureUseRSqrt, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "v8.1a", "Support ARM v8.1a instructions", AArch64::HasV8_1aOps, { { { 0x10180000000800ULL, 0x40000000000001ULL, 0x0ULL, } } } },
{ "v8.2a", "Support ARM v8.2a instructions", AArch64::HasV8_2aOps, { { { 0x1820000000000400ULL, 0x1000000000000000ULL, 0x0ULL, } } } },
{ "v8.3a", "Support ARM v8.3a instructions", AArch64::HasV8_3aOps, { { { 0x4008040000400200ULL, 0x2000000000000000ULL, 0x0ULL, } } } },
{ "v8.4a", "Support ARM v8.4a instructions", AArch64::HasV8_4aOps, { { { 0xa04240004a000002ULL, 0x4000500008000000ULL, 0x0ULL, } } } },
{ "v8.5a", "Support ARM v8.5a instructions", AArch64::HasV8_5aOps, { { { 0x200000200001108ULL, 0x8000040104000000ULL, 0x0ULL, } } } },
{ "vh", "Enables ARM v8.1 Virtual Host extension", AArch64::FeatureVH, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "zcm", "Has zero-cycle register moves", AArch64::FeatureZCRegMove, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "zcz", "Has zero-cycle zeroing instructions", AArch64::FeatureZCZeroing, { { { 0x0ULL, 0xa00000000000000ULL, 0x0ULL, } } } },
{ "zcz-fp", "Has zero-cycle zeroing instructions for FP registers", AArch64::FeatureZCZeroingFP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "zcz-fp-workaround", "The zero-cycle floating-point zeroing instruction has a bug", AArch64::FeatureZCZeroingFPWorkaround, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
{ "zcz-gp", "Has zero-cycle zeroing instructions for generic registers", AArch64::FeatureZCZeroingGP, { { { 0x0ULL, 0x0ULL, 0x0ULL, } } } },
};
#ifdef DBGFIELD
#error "<target>GenSubtargetInfo.inc requires a DBGFIELD macro"
#endif
#if !defined(NDEBUG) || defined(LLVM_ENABLE_DUMP)
#define DBGFIELD(x) x,
#else
#define DBGFIELD(x)
#endif
// ===============================================================
// Data tables for the new per-operand machine model.
// {ProcResourceIdx, Cycles}
extern const llvm::MCWriteProcResEntry AArch64WriteProcResTable[] = {
{ 0, 0}, // Invalid
{10, 1}, // #1
{ 4, 1}, // #2
{ 4, 2}, // #3
{ 7, 2}, // #4
{ 4, 1}, // #5
{ 7, 1}, // #6
{ 1, 1}, // #7
{ 4, 1}, // #8
{ 1, 1}, // #9
{ 2, 1}, // #10
{ 4, 1}, // #11
{ 9, 1}, // #12
{10, 1}, // #13
{11, 1}, // #14
{13, 1}, // #15
{ 3, 17}, // #16
{10, 2}, // #17
{12, 2}, // #18
{10, 1}, // #19
{13, 1}, // #20
{ 1, 1}, // #21
{ 2, 1}, // #22
{ 4, 1}, // #23
{ 6, 1}, // #24
{ 1, 2}, // #25
{ 4, 2}, // #26
{ 5, 2}, // #27
{ 8, 10}, // #28
{ 1, 2}, // #29
{ 4, 2}, // #30
{ 5, 2}, // #31
{ 8, 13}, // #32
{ 9, 2}, // #33
{ 1, 1}, // #34
{ 2, 1}, // #35
{ 4, 3}, // #36
{ 9, 1}, // #37
{ 9, 3}, // #38
{ 9, 4}, // #39
{ 4, 1}, // #40
{ 9, 2}, // #41
{ 4, 1}, // #42
{ 9, 3}, // #43
{ 4, 1}, // #44
{ 9, 4}, // #45
{ 9, 1}, // #46
{10, 2}, // #47
{ 9, 2}, // #48
{10, 2}, // #49
{ 4, 1}, // #50
{ 9, 1}, // #51
{10, 2}, // #52
{ 4, 1}, // #53
{ 9, 2}, // #54
{10, 2}, // #55
{ 9, 2}, // #56
{10, 3}, // #57
{ 9, 3}, // #58
{10, 3}, // #59
{ 4, 1}, // #60
{ 9, 2}, // #61
{10, 3}, // #62
{ 4, 1}, // #63
{ 9, 3}, // #64
{10, 3}, // #65
{ 9, 2}, // #66
{10, 4}, // #67
{ 9, 4}, // #68
{10, 8}, // #69
{ 4, 1}, // #70
{ 9, 2}, // #71
{10, 4}, // #72
{ 4, 1}, // #73
{ 9, 4}, // #74
{10, 8}, // #75
{10, 1}, // #76
{12, 1}, // #77
{ 9, 1}, // #78
{10, 3}, // #79
{ 4, 1}, // #80
{ 9, 1}, // #81
{10, 3}, // #82
{ 9, 1}, // #83
{10, 4}, // #84
{ 4, 1}, // #85
{ 9, 1}, // #86
{10, 4}, // #87
{ 9, 2}, // #88
{10, 1}, // #89
{ 4, 1}, // #90
{ 9, 2}, // #91
{10, 1}, // #92
{ 4, 1}, // #93
{ 7, 1}, // #94
{ 9, 1}, // #95
{ 5, 29}, // #96
{ 5, 1}, // #97
{ 6, 2}, // #98
{ 3, 1}, // #99
{ 1, 2}, // #100
{ 2, 1}, // #101
{ 6, 1}, // #102
{ 6, 3}, // #103
{ 6, 4}, // #104
{ 6, 5}, // #105
{ 5, 14}, // #106
{ 5, 13}, // #107
{ 5, 28}, // #108
{ 6, 17}, // #109
{ 7, 17}, // #110
{ 2, 1}, // #111
{ 3, 1}, // #112
{ 2, 2}, // #113
{ 4, 19}, // #114
{ 4, 35}, // #115
{ 2, 1}, // #116
{ 5, 1}, // #117
{ 3, 1}, // #118
{ 5, 1}, // #119
{ 1, 1}, // #120
{ 2, 2}, // #121
{ 3, 1}, // #122
{ 3, 1}, // #123
{ 6, 1}, // #124
{ 3, 2}, // #125
{ 3, 3}, // #126
{ 3, 4}, // #127
{ 2, 1}, // #128
{ 3, 1}, // #129
{ 6, 1}, // #130
{ 2, 1}, // #131
{ 3, 2}, // #132
{ 2, 1}, // #133
{ 3, 3}, // #134
{ 2, 1}, // #135
{ 3, 4}, // #136
{ 3, 1}, // #137
{ 6, 2}, // #138
{ 2, 1}, // #139
{ 3, 1}, // #140
{ 6, 2}, // #141
{ 3, 2}, // #142
{ 6, 3}, // #143
{ 3, 3}, // #144
{ 6, 4}, // #145
{ 2, 1}, // #146
{ 3, 2}, // #147
{ 6, 3}, // #148
{ 2, 1}, // #149
{ 3, 3}, // #150
{ 6, 4}, // #151
{ 3, 2}, // #152
{ 6, 4}, // #153
{ 3, 4}, // #154
{ 6, 4}, // #155
{ 2, 1}, // #156
{ 3, 2}, // #157
{ 6, 4}, // #158
{ 2, 1}, // #159
{ 3, 4}, // #160
{ 6, 4}, // #161
{ 5, 1}, // #162
{ 6, 1}, // #163
{ 5, 4}, // #164
{ 5, 6}, // #165
{ 5, 8}, // #166
{ 2, 1}, // #167
{ 5, 1}, // #168
{ 6, 1}, // #169
{ 2, 1}, // #170
{ 5, 2}, // #171
{ 2, 1}, // #172
{ 5, 4}, // #173
{ 2, 1}, // #174
{ 5, 6}, // #175
{ 2, 1}, // #176
{ 5, 8}, // #177
{ 5, 2}, // #178
{ 6, 1}, // #179
{ 2, 1}, // #180
{ 5, 2}, // #181
{ 6, 1}, // #182
{ 5, 6}, // #183
{ 6, 4}, // #184
{ 2, 1}, // #185
{ 5, 6}, // #186
{ 6, 4}, // #187
{ 5, 8}, // #188
{ 6, 4}, // #189
{ 2, 1}, // #190
{ 5, 8}, // #191
{ 6, 4}, // #192
{ 6, 32}, // #193
{ 7, 32}, // #194
{ 6, 34}, // #195
{ 7, 34}, // #196
{ 6, 64}, // #197
{ 7, 64}, // #198
{ 6, 1}, // #199
{ 7, 1}, // #200
{ 6, 2}, // #201
{ 7, 2}, // #202
{ 3, 2}, // #203
{ 6, 2}, // #204
{ 2, 1}, // #205
{ 3, 2}, // #206
{ 6, 2}, // #207
{ 3, 1}, // #208
{ 6, 3}, // #209
{ 2, 1}, // #210
{ 3, 1}, // #211
{ 6, 3}, // #212
{ 5, 3}, // #213
{ 2, 1}, // #214
{ 5, 3}, // #215
{ 5, 4}, // #216
{ 6, 2}, // #217
{ 2, 1}, // #218
{ 5, 4}, // #219
{ 6, 2}, // #220
{ 5, 3}, // #221
{ 6, 2}, // #222
{ 2, 1}, // #223
{ 5, 3}, // #224
{ 6, 2}, // #225
{ 6, 1}, // #226
{ 8, 1}, // #227
{ 6, 2}, // #228
{ 8, 2}, // #229
{ 6, 2}, // #230
{ 8, 1}, // #231
{ 6, 7}, // #232
{ 6, 9}, // #233
{ 2, 2}, // #234
{ 3, 2}, // #235
{ 2, 2}, // #236
{ 5, 2}, // #237
{ 2, 2}, // #238
{ 5, 4}, // #239
{ 2, 3}, // #240
{ 5, 4}, // #241
{ 2, 2}, // #242
{ 5, 1}, // #243
{28, 1}, // #244
{ 5, 1}, // #245
{ 7, 1}, // #246
{ 1, 1}, // #247
{35, 1}, // #248
{16, 12}, // #249
{19, 1}, // #250
{45, 1}, // #251
{27, 1}, // #252
{ 4, 1}, // #253
{ 5, 1}, // #254
{27, 1}, // #255
{ 5, 2}, // #256
{ 7, 2}, // #257
{ 5, 1}, // #258
{ 7, 1}, // #259
{ 8, 12}, // #260
{ 5, 1}, // #261
{ 7, 1}, // #262
{ 8, 21}, // #263
{ 4, 1}, // #264
{ 5, 1}, // #265
{45, 1}, // #266
{ 5, 3}, // #267
{ 7, 1}, // #268
{27, 1}, // #269
{27, 2}, // #270
{28, 1}, // #271
{27, 3}, // #272
{27, 4}, // #273
{ 5, 1}, // #274
{27, 2}, // #275
{28, 1}, // #276
{ 5, 1}, // #277
{27, 3}, // #278
{ 5, 1}, // #279
{27, 4}, // #280
{27, 2}, // #281
{28, 2}, // #282
{27, 10}, // #283
{ 5, 1}, // #284
{27, 2}, // #285
{28, 2}, // #286
{ 5, 1}, // #287
{27, 10}, // #288
{27, 12}, // #289
{28, 3}, // #290
{27, 18}, // #291
{ 5, 1}, // #292
{27, 12}, // #293
{28, 3}, // #294
{ 5, 1}, // #295
{27, 18}, // #296
{27, 12}, // #297
{28, 4}, // #298
{27, 24}, // #299
{ 5, 1}, // #300
{27, 12}, // #301
{28, 4}, // #302
{ 5, 1}, // #303
{27, 24}, // #304
{24, 6}, // #305
{45, 2}, // #306
{24, 1}, // #307
{45, 1}, // #308
{24, 2}, // #309
{45, 2}, // #310
{24, 3}, // #311
{45, 3}, // #312
{24, 4}, // #313
{45, 4}, // #314
{24, 9}, // #315
{45, 3}, // #316
{24, 12}, // #317
{28, 1}, // #318
{45, 2}, // #319
{24, 15}, // #320
{28, 1}, // #321
{45, 3}, // #322
{16, 8}, // #323
{16, 13}, // #324
{ 2, 8}, // #325
{ 3, 8}, // #326
{16, 16}, // #327
{17, 8}, // #328
{18, 8}, // #329
{ 2, 13}, // #330
{ 3, 13}, // #331
{16, 26}, // #332
{17, 13}, // #333
{18, 13}, // #334
{32, 1}, // #335
{ 2, 1}, // #336
{32, 1}, // #337
{33, 1}, // #338
{27, 2}, // #339
{28, 3}, // #340
{ 5, 1}, // #341
{27, 2}, // #342
{28, 3}, // #343
{27, 4}, // #344
{28, 4}, // #345
{ 5, 1}, // #346
{27, 4}, // #347
{28, 4}, // #348
{24, 9}, // #349
{28, 1}, // #350
{45, 1}, // #351
{ 2, 1}, // #352
{36, 1}, // #353
{41, 1}, // #354
{ 9, 1}, // #355
{37, 1}, // #356
{ 1, 19}, // #357
{23, 19}, // #358
{ 1, 38}, // #359
{23, 38}, // #360
{ 1, 52}, // #361
{23, 52}, // #362
{ 1, 2}, // #363
{35, 2}, // #364
{ 1, 1}, // #365
{13, 1}, // #366
{14, 1}, // #367
{24, 1}, // #368
{28, 1}, // #369
{24, 1}, // #370
{37, 1}, // #371
{ 1, 26}, // #372
{23, 26}, // #373
{ 4, 1}, // #374
{ 5, 1}, // #375
{27, 2}, // #376
{ 4, 1}, // #377
{ 5, 1}, // #378
{24, 1}, // #379
{45, 1}, // #380
{ 5, 2}, // #381
{ 7, 1}, // #382
{37, 1}, // #383
{45, 1}, // #384
{39, 1}, // #385
{ 1, 1}, // #386
{48, 1}, // #387
{16, 1}, // #388
{20, 9}, // #389
{60, 1}, // #390
{ 8, 12}, // #391
{ 9, 12}, // #392
{ 8, 21}, // #393
{ 9, 21}, // #394
{ 6, 3}, // #395
{ 8, 1}, // #396
{36, 1}, // #397
{36, 2}, // #398
{52, 1}, // #399
{36, 3}, // #400
{36, 4}, // #401
{ 6, 1}, // #402
{36, 2}, // #403
{52, 1}, // #404
{ 6, 1}, // #405
{36, 1}, // #406
{ 6, 1}, // #407
{36, 3}, // #408
{ 6, 1}, // #409
{36, 4}, // #410
{36, 2}, // #411
{52, 2}, // #412
{36, 6}, // #413
{ 6, 1}, // #414
{36, 2}, // #415
{52, 2}, // #416
{ 6, 1}, // #417
{36, 6}, // #418
{36, 9}, // #419
{52, 3}, // #420
{ 6, 1}, // #421
{36, 9}, // #422
{52, 3}, // #423
{36, 6}, // #424
{52, 4}, // #425
{36, 12}, // #426
{ 6, 1}, // #427
{36, 6}, // #428
{52, 4}, // #429
{ 6, 1}, // #430
{36, 12}, // #431
{33, 1}, // #432
{60, 1}, // #433
{33, 2}, // #434
{60, 2}, // #435
{33, 3}, // #436
{60, 3}, // #437
{33, 4}, // #438
{60, 4}, // #439
{ 6, 1}, // #440
{33, 1}, // #441
{60, 1}, // #442
{ 6, 1}, // #443
{33, 2}, // #444
{60, 2}, // #445
{ 6, 1}, // #446
{33, 3}, // #447
{60, 3}, // #448
{ 6, 1}, // #449
{33, 4}, // #450
{60, 4}, // #451
{33, 3}, // #452
{52, 3}, // #453
{60, 6}, // #454
{ 6, 1}, // #455
{33, 3}, // #456
{52, 3}, // #457
{60, 6}, // #458
{33, 4}, // #459
{52, 4}, // #460
{60, 8}, // #461
{ 6, 1}, // #462
{33, 4}, // #463
{52, 4}, // #464
{60, 8}, // #465
{20, 6}, // #466
{20, 12}, // #467
{20, 18}, // #468
{44, 1}, // #469
{ 1, 1}, // #470
{44, 1}, // #471
{45, 1}, // #472
{ 8, 1}, // #473
{10, 1}, // #474
{36, 3}, // #475
{52, 3}, // #476
{ 6, 1}, // #477
{36, 3}, // #478
{52, 3}, // #479
{36, 3}, // #480
{52, 4}, // #481
{ 6, 1}, // #482
{36, 3}, // #483
{52, 4}, // #484
{33, 2}, // #485
{52, 1}, // #486
{60, 4}, // #487
{ 6, 1}, // #488
{33, 2}, // #489
{52, 1}, // #490
{60, 4}, // #491
{33, 2}, // #492
{52, 2}, // #493
{60, 4}, // #494
{ 6, 1}, // #495
{33, 2}, // #496
{52, 2}, // #497
{60, 4}, // #498
{ 1, 1}, // #499
{47, 1}, // #500
{49, 1}, // #501
{ 2, 1}, // #502
{56, 1}, // #503
{58, 1}, // #504
{ 1, 1}, // #505
{16, 1}, // #506
{17, 1}, // #507
{19, 1}, // #508
{29, 7}, // #509
{29, 14}, // #510
{29, 18}, // #511
{39, 1}, // #512
{60, 1}, // #513
{61, 1}, // #514
{ 1, 1}, // #515
{48, 1}, // #516
{52, 1}, // #517
{ 1, 1}, // #518
{16, 1}, // #519
{17, 1}, // #520
{19, 1}, // #521
{60, 1}, // #522
{61, 1}, // #523
{29, 9}, // #524
{ 5, 1}, // #525
{ 6, 1}, // #526
{36, 1}, // #527
{ 5, 1}, // #528
{ 6, 1}, // #529
{36, 2}, // #530
{ 5, 1}, // #531
{ 6, 1}, // #532
{33, 2}, // #533
{60, 2}, // #534
{ 1, 1}, // #535
{48, 1}, // #536
{60, 1}, // #537
{61, 1}, // #538
{16, 1}, // #539
{19, 1}, // #540
{ 1, 1}, // #541
{ 6, 1}, // #542
{ 8, 1}, // #543
{48, 1}, // #544
{ 1, 1}, // #545
{48, 1}, // #546
{52, 1}, // #547
{60, 1}, // #548
{61, 1}, // #549
{52, 1}, // #550
{55, 1}, // #551
{11, 1}, // #552
{15, 1}, // #553
{39, 1}, // #554
{43, 1}, // #555
{20, 6}, // #556
{23, 6}, // #557
{29, 6}, // #558
{32, 6}, // #559
{20, 12}, // #560
{23, 12}, // #561
{29, 12}, // #562
{32, 12}, // #563
{ 5, 1}, // #564
{ 6, 1}, // #565
{60, 1}, // #566
{ 1, 3}, // #567
{48, 3}, // #568
{ 1, 2}, // #569
{48, 2}, // #570
{ 5, 1}, // #571
{ 6, 1}, // #572
{33, 1}, // #573
{60, 1}, // #574
{ 6, 1}, // #575
{ 7, 1}, // #576
{ 9, 1}, // #577
{ 6, 1}, // #578
{33, 13}, // #579
{23, 1}, // #580
{59, 1}, // #581
{ 6, 2}, // #582
{ 7, 2}, // #583
{ 9, 2}, // #584
{ 9, 10}, // #585
{10, 10}, // #586
{ 9, 16}, // #587
{10, 16}, // #588
{ 6, 3}, // #589
{ 7, 1}, // #590
{ 9, 1}, // #591
{33, 1}, // #592
{ 6, 1}, // #593
{ 7, 1}, // #594
{33, 2}, // #595
{52, 1}, // #596
{ 6, 1}, // #597
{ 7, 1}, // #598
{33, 1}, // #599
{ 6, 1}, // #600
{ 7, 1}, // #601
{33, 3}, // #602
{ 6, 1}, // #603
{ 7, 1}, // #604
{33, 4}, // #605
{33, 11}, // #606
{ 6, 1}, // #607
{ 7, 1}, // #608
{33, 2}, // #609
{52, 2}, // #610
{ 6, 1}, // #611
{ 7, 1}, // #612
{33, 11}, // #613
{33, 2}, // #614
{52, 3}, // #615
{ 6, 1}, // #616
{ 7, 1}, // #617
{33, 2}, // #618
{52, 3}, // #619
{33, 2}, // #620
{52, 4}, // #621
{33, 8}, // #622
{ 6, 1}, // #623
{ 7, 1}, // #624
{33, 2}, // #625
{52, 4}, // #626
{ 6, 1}, // #627
{ 7, 1}, // #628
{33, 8}, // #629
{30, 1}, // #630
{59, 1}, // #631
{30, 2}, // #632
{59, 2}, // #633
{30, 3}, // #634
{59, 3}, // #635
{30, 4}, // #636
{59, 4}, // #637
{ 6, 1}, // #638
{ 7, 1}, // #639
{30, 1}, // #640
{59, 1}, // #641
{ 6, 1}, // #642
{ 7, 1}, // #643
{30, 2}, // #644
{59, 2}, // #645
{ 6, 1}, // #646
{ 7, 1}, // #647
{30, 3}, // #648
{59, 3}, // #649
{ 6, 1}, // #650
{ 7, 1}, // #651
{30, 4}, // #652
{59, 4}, // #653
{30, 2}, // #654
{52, 3}, // #655
{59, 2}, // #656
{ 6, 1}, // #657
{ 7, 1}, // #658
{30, 2}, // #659
{52, 3}, // #660
{59, 2}, // #661
{30, 4}, // #662
{52, 4}, // #663
{59, 8}, // #664
{ 6, 1}, // #665
{ 7, 1}, // #666
{30, 4}, // #667
{52, 4}, // #668
{59, 8}, // #669
{20, 4}, // #670
{40, 1}, // #671
{ 1, 1}, // #672
{40, 1}, // #673
{41, 1}, // #674
{ 9, 1}, // #675
{12, 1}, // #676
{33, 1}, // #677
{52, 3}, // #678
{ 6, 1}, // #679
{ 7, 1}, // #680
{33, 1}, // #681
{52, 3}, // #682
{33, 1}, // #683
{52, 4}, // #684
{ 6, 1}, // #685
{ 7, 1}, // #686
{33, 1}, // #687
{52, 4}, // #688
{30, 1}, // #689
{52, 2}, // #690
{59, 1}, // #691
{ 6, 1}, // #692
{ 7, 1}, // #693
{30, 1}, // #694
{52, 2}, // #695
{59, 1}, // #696
{30, 2}, // #697
{52, 2}, // #698
{59, 4}, // #699
{ 6, 1}, // #700
{ 7, 1}, // #701
{30, 2}, // #702
{52, 2}, // #703
{59, 4}, // #704
{ 2, 1}, // #705
{55, 1}, // #706
{57, 1}, // #707
{ 2, 1}, // #708
{17, 1}, // #709
{18, 1}, // #710
{27, 5}, // #711
{36, 1}, // #712
{59, 1}, // #713
{60, 1}, // #714
{17, 1}, // #715
{52, 1}, // #716
{59, 1}, // #717
{60, 1}, // #718
{17, 1}, // #719
{59, 1}, // #720
{60, 1}, // #721
{27, 9}, // #722
{30, 1}, // #723
{59, 1}, // #724
{60, 1}, // #725
{ 5, 1}, // #726
{ 6, 1}, // #727
{ 7, 1}, // #728
{30, 1}, // #729
{59, 1}, // #730
{60, 1}, // #731
{ 2, 1}, // #732
{17, 1}, // #733
{18, 1}, // #734
{59, 1}, // #735
{60, 1}, // #736
{ 6, 3}, // #737
{ 7, 2}, // #738
{ 9, 1}, // #739
{11, 1}, // #740
{ 1, 1}, // #741
{ 6, 1}, // #742
{ 7, 1}, // #743
{ 9, 1}, // #744
{48, 1}, // #745
{ 6, 1}, // #746
{ 7, 1}, // #747
{33, 13}, // #748
{ 2, 1}, // #749
{17, 1}, // #750
{18, 1}, // #751
{52, 1}, // #752
{59, 1}, // #753
{60, 1}, // #754
{20, 2}, // #755
{27, 8}, // #756
{ 6, 2}, // #757
{ 7, 1}, // #758
{ 9, 1}, // #759
{ 6, 4}, // #760
{33, 15}, // #761
{ 6, 4}, // #762
{ 7, 4}, // #763
{33, 15}, // #764
{ 6, 1}, // #765
{ 7, 1}, // #766
{59, 1}, // #767
{ 6, 3}, // #768
{ 7, 3}, // #769
{ 9, 1}, // #770
{ 1, 30}, // #771
{48, 30}, // #772
{ 1, 14}, // #773
{48, 14}, // #774
{ 3, 4}, // #775
{ 9, 4}, // #776
{ 3, 4}, // #777
{ 9, 4}, // #778
{13, 1}, // #779
{14, 1}, // #780
{ 5, 2}, // #781
{ 6, 2}, // #782
{ 5, 3}, // #783
{ 6, 3}, // #784
{ 5, 4}, // #785
{ 6, 4}, // #786
{ 5, 1}, // #787
{ 6, 1}, // #788
{13, 1}, // #789
{14, 1}, // #790
{ 5, 2}, // #791
{ 6, 2}, // #792
{13, 1}, // #793
{14, 1}, // #794
{ 5, 3}, // #795
{ 6, 3}, // #796
{13, 1}, // #797
{14, 1}, // #798
{ 5, 4}, // #799
{ 6, 4}, // #800
{13, 1}, // #801
{14, 1}, // #802
{ 5, 4}, // #803
{ 6, 4}, // #804
{ 9, 2}, // #805
{ 5, 4}, // #806
{ 6, 4}, // #807
{ 9, 2}, // #808
{13, 1}, // #809
{14, 1}, // #810
{ 5, 4}, // #811
{ 6, 4}, // #812
{ 9, 4}, // #813
{ 5, 4}, // #814
{ 6, 4}, // #815
{ 9, 4}, // #816
{13, 1}, // #817
{14, 1}, // #818
{ 8, 1}, // #819
{ 9, 2}, // #820
{10, 1}, // #821
{ 8, 2}, // #822
{ 9, 4}, // #823
{10, 2}, // #824
{12, 1}, // #825
{13, 2}, // #826
{14, 2}, // #827
{16, 1}, // #828
{17, 1}, // #829
{11, 1}, // #830
{12, 1}, // #831
{13, 1}, // #832
{14, 1}, // #833
{ 3, 1}, // #834
{ 9, 1}, // #835
{ 3, 1}, // #836
{ 9, 3}, // #837
{ 3, 2}, // #838
{ 9, 2}, // #839
{ 3, 1}, // #840
{ 9, 4}, // #841
{ 5, 2}, // #842
{ 6, 2}, // #843
{ 9, 1}, // #844
{ 5, 2}, // #845
{ 6, 2}, // #846
{ 9, 1}, // #847
{13, 1}, // #848
{14, 1}, // #849
{ 5, 2}, // #850
{ 6, 2}, // #851
{ 9, 2}, // #852
{ 5, 2}, // #853
{ 6, 2}, // #854
{ 9, 2}, // #855
{13, 1}, // #856
{14, 1}, // #857
{ 9, 5}, // #858
{ 2, 1}, // #859
{ 9, 1}, // #860
{13, 1}, // #861
{14, 1}, // #862
{16, 1}, // #863
{17, 1}, // #864
{14, 1}, // #865
{17, 1}, // #866
{11, 2}, // #867
{12, 2}, // #868
{13, 10}, // #869
{14, 10}, // #870
{16, 8}, // #871
{17, 8}, // #872
{11, 2}, // #873
{12, 2}, // #874
{13, 13}, // #875
{14, 13}, // #876
{16, 11}, // #877
{17, 11}, // #878
{ 3, 1}, // #879
{13, 1}, // #880
{14, 1}, // #881
{ 3, 1}, // #882
{13, 1}, // #883
{14, 1}, // #884
{16, 1}, // #885
{17, 1}, // #886
{ 3, 2}, // #887
{13, 1}, // #888
{14, 1}, // #889
{16, 1}, // #890
{17, 1}, // #891
{ 3, 1}, // #892
{ 4, 1}, // #893
{ 5, 1}, // #894
{ 3, 2}, // #895
{ 4, 1}, // #896
{ 5, 1}, // #897
{ 4, 1}, // #898
{ 5, 1}, // #899
{13, 1}, // #900
{14, 1}, // #901
{ 5, 2}, // #902
{ 6, 2}, // #903
{13, 2}, // #904
{14, 2}, // #905
{ 4, 1}, // #906
{ 7, 2}, // #907
{ 4, 1}, // #908
{ 5, 1}, // #909
{ 7, 2}, // #910
{ 8, 1}, // #911
{ 1, 1}, // #912
{ 7, 1}, // #913
{ 1, 1}, // #914
{ 7, 3}, // #915
{ 1, 4}, // #916
{ 7, 1}, // #917
{ 1, 1}, // #918
{ 7, 2}, // #919
{ 1, 2}, // #920
{ 7, 2}, // #921
{ 1, 3}, // #922
{ 7, 2}, // #923
{ 1, 4}, // #924
{ 4, 6}, // #925
{ 7, 6}, // #926
{ 1, 4}, // #927
{ 4, 6}, // #928
{ 7, 8}, // #929
{ 1, 4}, // #930
{ 4, 8}, // #931
{ 7, 8}, // #932
{ 1, 4}, // #933
{ 7, 2}, // #934
{ 1, 4}, // #935
{ 4, 8}, // #936
{ 7, 10}, // #937
{ 1, 1}, // #938
{ 7, 1}, // #939
{ 8, 1}, // #940
{ 1, 2}, // #941
{ 7, 3}, // #942
{ 8, 2}, // #943
{ 1, 3}, // #944
{ 7, 3}, // #945
{ 8, 3}, // #946
{ 1, 4}, // #947
{ 7, 4}, // #948
{ 8, 4}, // #949
{ 1, 1}, // #950
{ 4, 1}, // #951
{ 7, 3}, // #952
{ 8, 1}, // #953
{ 1, 3}, // #954
{ 7, 5}, // #955
{ 8, 3}, // #956
{ 1, 4}, // #957
{ 7, 6}, // #958
{ 8, 4}, // #959
{ 1, 1}, // #960
{ 7, 3}, // #961
{ 8, 1}, // #962
{ 1, 2}, // #963
{ 7, 4}, // #964
{ 8, 2}, // #965
{ 1, 2}, // #966
{ 7, 2}, // #967
{ 8, 2}, // #968
{ 1, 4}, // #969
{ 4, 4}, // #970
{ 7, 8}, // #971
{ 8, 4}, // #972
{ 1, 4}, // #973
{ 4, 4}, // #974
{ 7, 10}, // #975
{ 8, 4}, // #976
{ 1, 4}, // #977
{ 4, 8}, // #978
{ 7, 12}, // #979
{ 8, 4}, // #980
{ 1, 4}, // #981
{ 4, 8}, // #982
{ 7, 14}, // #983
{ 8, 4}, // #984
{ 4, 2}, // #985
{ 5, 2}, // #986
{ 7, 4}, // #987
{ 8, 2}, // #988
{ 4, 2}, // #989
{ 7, 4}, // #990
{ 4, 3}, // #991
{ 5, 3}, // #992
{ 7, 3}, // #993
{ 1, 1}, // #994
{ 4, 1}, // #995
{ 7, 1}, // #996
{ 1, 1}, // #997
{ 4, 2}, // #998
{ 7, 2}, // #999
{ 1, 1}, // #1000
{ 4, 2}, // #1001
{ 7, 4}, // #1002
{ 1, 1}, // #1003
{ 4, 3}, // #1004
{ 7, 3}, // #1005
{ 1, 1}, // #1006
{ 4, 3}, // #1007
{ 7, 5}, // #1008
{ 1, 2}, // #1009
{ 4, 3}, // #1010
{ 7, 3}, // #1011
{ 1, 2}, // #1012
{ 4, 3}, // #1013
{ 7, 5}, // #1014
{ 1, 1}, // #1015
{ 4, 4}, // #1016
{ 7, 4}, // #1017
{ 1, 1}, // #1018
{ 4, 4}, // #1019
{ 7, 6}, // #1020
{ 1, 2}, // #1021
{ 4, 4}, // #1022
{ 7, 4}, // #1023
{ 1, 2}, // #1024
{ 4, 4}, // #1025
{ 7, 6}, // #1026
{ 1, 2}, // #1027
{ 4, 2}, // #1028
{ 7, 4}, // #1029
{ 8, 2}, // #1030
{ 1, 2}, // #1031
{ 4, 2}, // #1032
{ 7, 6}, // #1033
{ 8, 2}, // #1034
{ 1, 2}, // #1035
{ 4, 4}, // #1036
{ 7, 6}, // #1037
{ 8, 2}, // #1038
{ 1, 2}, // #1039
{ 4, 4}, // #1040
{ 7, 8}, // #1041
{ 8, 2}, // #1042
{ 4, 2}, // #1043
{ 7, 3}, // #1044
{ 4, 12}, // #1045
{ 7, 13}, // #1046
{ 4, 16}, // #1047
{ 7, 17}, // #1048
{ 1, 2}, // #1049
{ 4, 1}, // #1050
{ 7, 4}, // #1051
{ 8, 2}, // #1052
{ 1, 1}, // #1053
{ 4, 1}, // #1054
{ 7, 2}, // #1055
{ 8, 1}, // #1056
{ 4, 5}, // #1057
{ 7, 5}, // #1058
{ 4, 10}, // #1059
{ 7, 11}, // #1060
{ 4, 7}, // #1061
{ 7, 7}, // #1062
{ 4, 14}, // #1063
{ 7, 15}, // #1064
{ 1, 2}, // #1065
{ 4, 1}, // #1066
{ 7, 1}, // #1067
{ 1, 2}, // #1068
{ 7, 1}, // #1069
{ 8, 1}, // #1070
{ 5, 19}, // #1071
{ 3, 6}, // #1072
{ 6, 8}, // #1073
{ 6, 10}, // #1074
{ 5, 9}, // #1075
{ 1, 4}, // #1076
{ 3, 4}, // #1077
{ 6, 4}, // #1078
{ 1, 2}, // #1079
{ 6, 2}, // #1080
{ 1, 1}, // #1081
{ 5, 1}, // #1082
{ 6, 1}, // #1083
{ 1, 1}, // #1084
{ 3, 1}, // #1085
{ 6, 1}, // #1086
{ 1, 19}, // #1087
{ 3, 19}, // #1088
{ 6, 19}, // #1089
{ 1, 2}, // #1090
{ 3, 2}, // #1091
{ 6, 2}, // #1092
{ 1, 2}, // #1093
{ 7, 1}, // #1094
{14, 1}, // #1095
{ 1, 2}, // #1096
{ 6, 1}, // #1097
{ 7, 1}, // #1098
{ 1, 39}, // #1099
{ 2, 39}, // #1100
{ 3, 39}, // #1101
{ 4, 39}, // #1102
{ 6, 39}, // #1103
{ 1, 23}, // #1104
{ 2, 23}, // #1105
{ 3, 23}, // #1106
{ 4, 23}, // #1107
{ 6, 23}, // #1108
{ 1, 3}, // #1109
{ 6, 1}, // #1110
{ 7, 1}, // #1111
{14, 1}, // #1112
{ 1, 3}, // #1113
{ 7, 2}, // #1114
{14, 1}, // #1115
{ 1, 4}, // #1116
{ 5, 1}, // #1117
{ 6, 3}, // #1118
{ 7, 1}, // #1119
{ 1, 2}, // #1120
{ 3, 1}, // #1121
{ 6, 1}, // #1122
{ 7, 1}, // #1123
{ 1, 3}, // #1124
{ 3, 1}, // #1125
{ 6, 2}, // #1126
{ 7, 1}, // #1127
{ 1, 8}, // #1128
{ 3, 8}, // #1129
{ 6, 8}, // #1130
{ 1, 12}, // #1131
{ 3, 12}, // #1132
{ 6, 12}, // #1133
{ 1, 1}, // #1134
{ 2, 1}, // #1135
{ 3, 1}, // #1136
{ 4, 1}, // #1137
{ 6, 1}, // #1138
{ 1, 3}, // #1139
{ 6, 2}, // #1140
{ 7, 1}, // #1141
{ 1, 4}, // #1142
{ 6, 3}, // #1143
{ 7, 1} // #1144
}; // AArch64WriteProcResTable
// {Cycles, WriteResourceID}
extern const llvm::MCWriteLatencyEntry AArch64WriteLatencyTable[] = {
{ 0, 0}, // Invalid
{ 2, 0}, // #1 WriteV_WriteExtr_WriteF_WriteFImm_WriteAdr_CyWriteCrypto2_A57Write_2cyc_1M_A57Write_1cyc_1I_A57Write_2cyc_2S_A57Write_2cyc_1B_1I_A57Write_2cyc_1I_2S_WriteFCmp_M3WriteZ1_M3WriteSB_WriteVST_M3WriteC2_M3WriteFADD2_M3WriteFCVT2_M3WriteNMSC2_M3WriteNSHT2_M3WriteAD_M3WriteAA_M3WriteAC_M4WriteAA_M4WriteFADD2_M4WriteNMSC2_M4WriteFCVT2_M4WriteZ1_M4WriteVST1_M4WriteVSTD_M4WriteVSTE_M4WriteAF_M4WriteE2_M4WriteFCVT2A_M4WriteNSHFB_M4WriteNSHT2_M4WriteAD_M4WriteFCVT2H_M4WriteNEONI_M4WriteFADD2H_M4WriteFMAC2H_M4WriteSB_M4WriteAB_M5WriteFADD2_M5WriteNMSC2_M5WriteFCVT2_M5WriteNALU2_M5WriteC2_M5WriteZ1_M5WriteVSTA_M5WriteVSTD_M5WriteVSTE_M5WriteAFX_M5WriteNCRY2A_M5WriteNSHT2_M5WriteFCVT2A_M5WriteNSHF2_M5WriteNSHFA_M5WriteAB_M5WriteNEONH_M5WriteNDOT2_M5WriteAD_M5WriteAFW_M5WriteSB_M5WriteAAX_M5WriteAAW_FalkorWr_2XYZ_2cyc_FalkorWr_2VXVY_2cyc_FalkorWr_1X_2cyc_FalkorWr_1VXVY_2cyc_FalkorWr_1GTOV_1VXVY_2cyc_FalkorWr_4VXVY_2cyc_FalkorWr_1XYZ_2cyc_FalkorWr_1XYZ_1cyc_KryoWrite_2cyc_XY_XY_5_1ln_KryoWrite_2cyc_XY_X_136ln_KryoWrite_2cyc_X_X_23ln_KryoWrite_2cyc_XA_XA_XA_24ln_KryoWrite_2cyc_XY_noRSV_234ln_KryoWrite_2cyc_XY_XY_236ln_KryoWrite_2cyc_XY_XY_235ln_KryoWrite_2cyc_XY_XY_243ln_KryoWrite_2cyc_XY_noRSV_105ln_KryoWrite_2cyc_XY_XY_36ln_KryoWrite_2cyc_XY_noRSV_104ln_KryoWrite_2cyc_XY_XY_106ln_KryoWrite_2cyc_XY_noRSV_108ln_KryoWrite_2cyc_XY_XY_109ln_KryoWrite_2cyc_X_X_noRSV_220ln_KryoWrite_2cyc_X_X_X_X_221ln_KryoWrite_2cyc_X_LS_Y_XY_LS_Y_354ln_KryoWrite_2cyc_XY_XY_150ln_KryoWrite_2cyc_XY_XY_82ln_KryoWrite_2cyc_XY_XY_183ln_KryoWrite_2cyc_XY_noRSV_33ln_KryoWrite_2cyc_XY_noRSV_35ln_KryoWrite_2cyc_XY_XY_242ln_KryoWrite_2cyc_X_X_22ln_KryoWrite_2cyc_XY_noRSV_148ln_KryoWrite_2cyc_XY_noRSV_239ln_KryoWrite_2cyc_XY_noRSV_240ln_KryoWrite_2cyc_XY_noRSV_67ln_KryoWrite_2cyc_XY_noRSV_149ln_KryoWrite_2cyc_XY_noRSV_80ln_KryoWrite_2cyc_XY_noRSV_182ln_KryoWrite_2cyc_XY_noRSV_81ln_KryoWrite_2cyc_XY_XY_153ln_KryoWrite_2cyc_XY_XY_151ln_KryoWrite_2cyc_XY_XY_152ln_KryoWrite_2cyc_XY_XY_241ln_KryoWrite_2cyc_XY_XY_83ln_KryoWrite_2cyc_XY_XY_XY_XY_176ln_KryoWrite_2cyc_XY_XY_64ln_KryoWrite_2cyc_XY_XY_5ln_KryoWrite_2cyc_XY_noRSV_218ln_KryoWrite_2cyc_XY_XY_219ln_KryoWrite_2cyc_X_X_X_noRSV_222ln_KryoWrite_2cyc_X_X_X_X_X_X_224ln_KryoWrite_2cyc_XY_noRSV_39ln_KryoWrite_2cyc_XY_3ln_KryoWrite_2cyc_XY_noRSV_7ln_KryoWrite_2cyc_XY_XY_8ln_KryoWrite_2cyc_XY_noRSV_34ln_KryoWrite_2cyc_XY_XY_137ln_KryoWrite_2cyc_XY_93ln_KryoWrite_2cyc_XY_204ln_THX2T99Write_5Cyc_I012
{ 1, 1028}, // #2 WriteI
{ 2, 1035}, // #3 WriteISReg
{ 2, 1031}, // #4 WriteIEReg
{ 1, 0}, // #5 WriteAdr_WriteBarrier_WriteSys_WriteBr_WriteBrReg_WriteHint_A57Write_1cyc_1I_A57Write_1cyc_1B_A57Write_1cyc_1S_A57Write_1cyc_1I_1S_A57Write_1cyc_1B_1I_M3WriteA1_M3WriteZ1_M3WriteC1_WriteFCopy_WriteFImm_M3WriteS1_WriteVST_M3WriteNCRY1A_M3WriteNSHT1_M3WriteNMSC1_M3WriteNALU1_M3WriteNSHF1_M3WriteB1_M3WriteAB_M4WriteNALU1_M4WriteA1_M4WriteZ1_M4WriteC1_M4WriteS1_M4WriteVST1_M4WriteNCRY1A_M4WriteNSHT1_M4WriteNMSC1_M4WriteNSHF1_M4WriteNSHFA_M4WriteVSTJ_M4WriteNHAD1_M4WriteB1_M4WriteNSHF1H_M4WriteNALU1H_M5WriteNALU1_M5WriteA1W_M5WriteZ1_M5WriteC1_M5WriteS1_M5WriteVST1_M5WriteA1X_M5WriteNMSC1_M5WriteVSTH_M5WriteNHAD1_M5WriteB1_M5WriteNSHF1_M5WriteNCRY1A_FalkorWr_1XYZ_1cyc_FalkorWr_2VXVY_1cyc_FalkorWr_1VXVY_1cyc_FalkorWr_2GTOV_1cyc_FalkorWr_1VTOG_1cyc_FalkorWr_1GTOV_1cyc_KryoWrite_1cyc_LS_Y_XY_LS_Y_345ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_X_X_LS_Y_LS_Y_385ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_390ln_KryoWrite_1cyc_X_72ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_353ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_370ln_KryoWrite_1cyc_X_X_75ln_KryoWrite_1cyc_X_X_197ln_KryoWrite_1cyc_XY_195ln_KryoWrite_1cyc_X_201ln_KryoWrite_1cyc_X_X_209ln_KryoWrite_1cyc_X_LS_Y_295ln_KryoWrite_1cyc_X_LS_Y_406ln_KryoWrite_1cyc_XY_90ln_KryoWrite_1cyc_XY_XY_79ln_KryoWrite_1cyc_XY_noRSV_47ln_KryoWrite_1cyc_XY_noRSV_124ln_KryoWrite_1cyc_XY_XY_125ln_KryoWrite_1cyc_XY_noRSV_144ln_KryoWrite_1cyc_XY_noRSV_66ln_KryoWrite_1cyc_XY_noRSV_76ln_KryoWrite_1cyc_XY_noRSV_143ln_KryoWrite_1cyc_XY_XY_146ln_KryoWrite_1cyc_XY_XY_145ln_KryoWrite_1cyc_XY_63ln_KryoWrite_1cyc_XY_62ln_KryoWrite_1cyc_XY_18ln_KryoWrite_1cyc_XY_XY_78ln_KryoWrite_1cyc_XY_2ln_KryoWrite_1cyc_XY_1ln_KryoWrite_1cyc_XY_noRSV_6ln_KryoWrite_1cyc_X_noRSV_196ln_KryoWrite_1cyc_X_noRSV_74ln_KryoWrite_1cyc_X_noRSV_207ln_KryoWrite_1cyc_XY_noRSV_198ln_KryoWrite_1cyc_X_noRSV_205ln_KryoWrite_1cyc_XY_noRSV_193ln_KryoWrite_1cyc_XY_XY_216ln_KryoWrite_1cyc_XY_noRSV_215ln_KryoWrite_1cyc_X_X_212ln_KryoWrite_1cyc_XY_XY_199ln_KryoWrite_1cyc_XY_XY_194ln_KryoWrite_1cyc_X_X_208ln_KryoWrite_1cyc_XY_noRSV_21ln_KryoWrite_1cyc_XY_noRSV_48ln_KryoWrite_1cyc_XY_noRSV_49ln_KryoWrite_1cyc_X_202ln_KryoWrite_1cyc_XA_Y_98ln_KryoWrite_1cyc_XY_135ln_KryoWrite_1cyc_X_89ln_KryoWrite_1cyc_XY_63_1ln_KryoWrite_1cyc_XY_91ln_KryoWrite_1cyc_XY_noRSV_65ln_KryoWrite_1cyc_XY_noRSV_77ln_KryoWrite_1cyc_XY_20ln_KryoWrite_1cyc_X_17ln_KryoWrite_1cyc_XY_45ln_KryoWrite_1cyc_XY_noRSV_214ln_KryoWrite_1cyc_XY_XY_217ln_KryoWrite_1cyc_X_X_211ln_KryoWrite_1cyc_X_XY_213ln_KryoWrite_1cyc_X_X_210ln_KryoWrite_1cyc_X_noRSV_206ln_WriteST_WriteSTP_WriteSTIdx_THXT8XWriteVST1_THXT8XWriteBR_THXT8XWriteRET_THXT8XWriteBRR_WriteExtr_THX2T99Write_1Cyc_LS01_F01_THX2T99Write_1Cyc_I2_THX2T99Write_1Cyc_I012
{ 1, 1034}, // #6 WriteIS
{-1, 0}, // #7 WriteSys
{ 4, 0}, // #8 WriteFCmp_WriteFCvt_WriteFMul_WriteST_WriteLD_WriteVST_CyWriteV4_WriteFRSQRTE_WriteLDIdx_WriteSTP_WriteSTIdx_A53WriteVLD1_A53WriteVST1_A57Write_4cyc_1L_A57Write_4cyc_1I_1L_A57Write_4cyc_4S_A57Write_4cyc_4S_2V_A57Write_4cyc_1X_A57Write_4cyc_2X_A57Write_4cyc_1I_4S_M3WriteL4_M3WriteFCVT4_M3WriteFCVT4A_M4WriteL4_M4WriteCA_M4WriteVST1_M4WriteVSTF_M4WriteVSTL_M4WriteNSHT4A_M4WriteNSHFD_M4WriteNEONP_M4WriteNEONR_M4WriteNEONJ_M5WriteL4_M5WriteVSTC_M5WriteVSTG_M5WriteVSTF_M5WriteVSTL_M5WriteNSHT4A_M5WriteNSHFB_M5WriteFCVTB_M5WriteSA_FalkorWr_2LD_2VXVY_2LD_2VXVY_4cyc_FalkorWr_1VX_1VY_4cyc_FalkorWr_1LD_1VXVY_4cyc_FalkorWr_1LD_2VXVY_4cyc_FalkorWr_1LD_3VXVY_4cyc_FalkorWr_2LD_2VXVY_1none_4cyc_FalkorWr_1LD_4VXVY_4cyc_FalkorWr_2LD_2VXVY_2none_4cyc_FalkorWr_2VXVY_4cyc_FalkorWr_4VXVY_4cyc_FalkorWr_1VXVY_4cyc_FalkorWr_1GTOV_4cyc_FalkorWr_3VXVY_4cyc_FalkorWr_1LD_4cyc_FalkorWr_1XYZ_1cyc_FalkorWr_1XYZ_1LD_4cyc_FalkorWr_1XYZ_1ST_4cyc_KryoWrite_4cyc_LS_LS_X_X_X_LS_LS_X_X_X_381ln_KryoWrite_4cyc_LS_LS_X_X_X_X_LS_LS_X_X_X_X_386ln_KryoWrite_4cyc_XA_XA_XA_25ln_KryoWrite_4cyc_LS_X_270ln_KryoWrite_4cyc_LS_X_X_296ln_KryoWrite_4cyc_LS_X_X_X_320ln_KryoWrite_4cyc_LS_LS_X_X_X_noRSV_noRSV_noRSV_373ln_KryoWrite_4cyc_LS_X_X_X_X_337ln_KryoWrite_4cyc_LS_LS_X_X_X_X_noRSV_noRSV_noRSV_noRSV_382ln_KryoWrite_4cyc_XY_XY_noRSV_172ln_KryoWrite_4cyc_XY_XY_XY_XY_178ln_KryoWrite_4cyc_X_noRSV_169ln_KryoWrite_4cyc_X_noRSV_185ln_KryoWrite_4cyc_X_noRSV_188ln_KryoWrite_4cyc_X_X_192ln_KryoWrite_4cyc_X_X_191ln_KryoWrite_4cyc_X_noRSV_190ln_KryoWrite_4cyc_X_noRSV_111ln_KryoWrite_4cyc_X_X_115ln_KryoWrite_4cyc_X_X_X_X_X_X_noRSV_226ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_noRSV_229ln_KryoWrite_4cyc_X_37ln_KryoWrite_4cyc_X_38ln_KryoWrite_4cyc_X_LS_noRSV_297ln_KryoWrite_4cyc_X_LS_272ln_KryoWrite_4cyc_X_LS_271ln_KryoWrite_4cyc_XY_XY_noRSV_173ln_KryoWrite_4cyc_X_noRSV_189ln_KryoWrite_4cyc_X_noRSV_41ln_KryoWrite_4cyc_X_noRSV_97ln_KryoWrite_4cyc_X_noRSV_112ln_KryoWrite_4cyc_X_X_116ln_KryoWrite_4cyc_XY_X_noRSV_171ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_XY_X_X_230ln_KryoWrite_4cyc_X_X_X_X_X_X_X_X_X_X_XY_X_X_X_X_232ln_KryoWrite_4cyc_X_noRSV_110ln_KryoWrite_4cyc_X_X_114ln_KryoWrite_4cyc_LS_268ln_KryoWrite_4cyc_LS_269ln_KryoWrite_4cyc_LS_267ln_KryoWrite_4cyc_LS_266ln_KryoWrite_4cyc_X_70ln_KryoWrite_4cyc_X_84ln_KryoWrite_4cyc_X_noRSV_40ln_KryoWrite_4cyc_X_noRSV_113ln_KryoWrite_4cyc_X_X_117ln_WriteAtomic_WriteFCopy_WriteFImm_THX2T99Write_4Cyc_LS01_THX2T99Write_4Cyc_I1_THX2T99Write_4Cyc_F01_THX2T99Write_4Cyc_LS01_I012_THX2T99Write_4Cyc_LS01_I012_I012
{17, 0}, // #9 WriteFDiv_A53WriteFSqrtSP_A57Write_17cyc_1W_M3WriteVSTI_THXT8XWriteFSqrtSP
{ 5, 0}, // #10 WriteFCopy_WriteLD_WriteVLD_CyWriteV5_WriteST_A53WriteVLD2_A53WriteVST2_A57Write_5cyc_1V_A57Write_5cyc_1L_A57Write_4cyc_1L_A57Write_5cyc_2X_A57Write_5cyc_1W_A57Write_5cyc_2V_A57Write_5cyc_1I_2L_A57Write_5cyc_1I_1L_M3WriteLB_M3WriteL4_M3WriteL5_M3WriteVLDA_M3WriteNCRY5A_M3WriteNEONZ_M3WriteNEONH_M3WriteNEONI_M4WriteL4_M4WriteL5_M4WriteVLDA_M4WriteVSTG_M4WriteNEONB_M4WriteNCRY5A_M4WriteNEONH_M4WriteNEONK_M4WriteNEONN_M5WriteL4_M5WriteNCRY5A_M5WriteNEONB_M5WriteNEONK_M5WriteFDIV5_M5WriteFSQR5_M5WriteL5_M5WriteNEONN_FalkorWr_1VX_1VY_5cyc_FalkorWr_3VXVY_5cyc_FalkorWr_1VXVY_5cyc_FalkorWr_2VXVY_5cyc_FalkorWr_1XYZ_1LD_5cyc_KryoWrite_5cyc_X_X_129ln_KryoWrite_5cyc_X_71ln_KryoWrite_5cyc_XY_XY_XY_noRSV_180ln_KryoWrite_5cyc_X_X_XY_noRSV_119ln_KryoWrite_5cyc_X_X_130ln_KryoWrite_5cyc_X_X_131ln_KryoWrite_5cyc_X_noRSV_52ln_KryoWrite_5cyc_X_X_X_X_X_X_X_X_X_XY_X_X_X_231ln_KryoWrite_5cyc_X_X_X_X_X_X_X_X_X_X_X_XY_X_X_X_X_X_233ln_KryoWrite_5cyc_X_LS_273ln_KryoWrite_5cyc_XY_X_noRSV_174ln_KryoWrite_5cyc_X_noRSV_55ln_KryoWrite_5cyc_X_X_56ln_KryoWrite_5cyc_X_noRSV_126ln_KryoWrite_5cyc_X_noRSV_127ln_KryoWrite_5cyc_X_noRSV_54ln_KryoWrite_5cyc_X_noRSV_128ln_KryoWrite_5cyc_X_85ln_KryoWrite_5cyc_X_noRSV_51ln_KryoWrite_5cyc_X_noRSV_53ln_WriteFCmp_THX2T99Write_5Cyc_LS01_F01_THX2T99Write_5Cyc_F1_THX2T99Write_5Cyc_F01_THX2T99Write_5Cyc_LS01_I012
{ 4, 0}, // #11 WriteLD_WriteVST_WriteST_A57Write_4cyc_1L_WriteLDHi_M3WriteL4_M4WriteL4_M5WriteL4_FalkorWr_1LD_4cyc_KryoWrite_4cyc_LS_395ln
{ 4, 0}, // #12 WriteLDHi_WriteVST_WriteST_M4WriteZ4_M5WriteZ4_FalkorWr_none_4cyc
{ 4, 0}, // #13 WriteLD_WriteVST_A57Write_4cyc_1L_M3WriteL4_M3WriteLD_M4WriteL4_M4WriteLD_M5WriteL4_M5WriteLD
{ 4, 0}, // #14 WriteLDHi_WriteVST_A57Write_4cyc_1L_A57Write_4cyc_4S_A57Write_4cyc_4S_2V_M3WriteL4_M4WriteZ4_M4WriteL4_M4WriteVST1_M4WriteVSTF_M4WriteVSTL_M5WriteZ4_M5WriteL4_THX2T99Write_4Cyc_LS01_THX2T99Write_4Cyc_LS01_I012
{ 1, 0}, // #15 WriteAdr_A57Write_1cyc_1I_M3WriteZ1_M4WriteZ1_M4WriteA1_M5WriteZ1
{ 4, 1032}, // #16 WriteIM32
{ 5, 1033}, // #17 WriteIM64
{ 1, 1036}, // #18 WriteImm
{10, 1029}, // #19 WriteID32
{13, 1030}, // #20 WriteID64
{ 6, 0}, // #21 WriteVST_CyWriteCrypto6_WriteF_WriteFCmp_WriteFCvt_WriteFMul_WriteFCopy_WriteFImm_A53WriteVLD3_A53WriteVST3_A57Write_6cyc_2L_A57Write_6cyc_6S_A57Write_6cyc_6S_4V_A57Write_6cyc_1M_A57Write_6cyc_2V_A57Write_6cyc_2W_A57Write_6cyc_3V_A57Write_6cyc_1I_1L_M3WriteVLDE_M3WriteVLDB_M3WriteVLDC_M3WriteVLDH_M3WriteVLDL_M3WriteNEOND_M3WriteLE_M4WriteVLDD_M4WriteVLDB_M4WriteVLDC_M4WriteVLDG_M4WriteFCVT6A_M4WriteLE_M5WriteL6_M5WriteVLDA_M5WriteNSHFC_M5WriteFCVTC_M5WriteNEONI_FalkorWr_3VXVY_6cyc_FalkorWr_4VXVY_6cyc_FalkorWr_2VXVY_6cyc_WriteAdr_KryoWrite_6cyc_X_X_132ln_KryoWrite_6cyc_XY_XY_X_noRSV_181ln_KryoWrite_6cyc_X_noRSV_60ln_KryoWrite_6cyc_X_noRSV_58ln_KryoWrite_6cyc_X_noRSV_57ln_KryoWrite_6cyc_XY_X_noRSV_175ln_KryoWrite_6cyc_X_noRSV_59ln_KryoWrite_6cyc_X_X_133ln_KryoWrite_6cyc_X_X_134ln_KryoWrite_6cyc_XY_X_238ln_KryoWrite_6cyc_X_X_61ln_THXT8XWriteVLD1_THX2T99Write_6Cyc_LS01_THX2T99Write_6Cyc_LS01_F01_THX2T99XWriteFMulAcc_THX2T99Write_6Cyc_F01_THX2T99Write_6Cyc_LS01_I012_THX2T99Write_6Cyc_LS01_I012_I012
{ 1, 0}, // #22 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteZ1_KryoWrite_1cyc_LS_Y_X_301ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_LS_Y_366ln_KryoWrite_1cyc_LS_Y_XY_306ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_344ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_346ln_KryoWrite_1cyc_X_X_LS_Y_LS_Y_X_X_LS_Y_XY_LS_Y_388ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_LS_Y_367ln_KryoWrite_1cyc_LS_Y_XY_LS_Y_347ln_KryoWrite_1cyc_X_X_LS_Y_X_X_LS_Y_X_X_LS_Y_XY_X_X_LS_Y_392ln_KryoWrite_1cyc_X_X_LS_Y_XY_LS_Y_363ln_KryoWrite_1cyc_X_X_LS_Y_XY_X_X_LS_Y_375ln_KryoWrite_1cyc_LS_Y_X_303ln_KryoWrite_1cyc_LS_Y_X_LS_Y_343ln_KryoWrite_1cyc_LS_X_Y_300ln_KryoWrite_1cyc_LS_X_Y_407ln_KryoWrite_1cyc_LS_Y_X_304ln
{ 6, 0}, // #23 WriteVST
{ 1, 0}, // #24 WriteAdr_A57Write_1cyc_1I
{ 4, 0}, // #25 WriteST_WriteVST_A57Write_4cyc_1I_4S_A57Write_4cyc_2I_4S
{ 8, 0}, // #26 WriteLD_WriteVST_WriteFRECPS_A53WriteVLD5_A57Write_8cyc_1L_1V_A57Write_8cyc_4L_A57Write_8cyc_1L_2V_A57Write_8cyc_8S_A57Write_8cyc_8S_4V_A57Write_8cyc_2X_A57Write_8cyc_3V_M3WriteVSTE_M4WriteVSTI_M4WriteFSQR8_M4WriteNEONX_M4WriteNEONO_M5WriteVLDL_M5WriteVLDM_M5WriteVSTI_M5WriteVLDJ_M5WriteVLDK_M5WriteFSQR8_M5WriteNEONX_M5WriteNSHFD_M5WriteNEONO_FalkorWr_1X_1Z_8cyc_THX2T99Write_8Cyc_LS01_F01_THX2T99Write_8Cyc_F01
{ 1, 1028}, // #27 WriteI
{ 4, 0}, // #28 WriteLD
{ 1, 1028}, // #29 WriteI
{ 0, 0}, // #30 WriteBrReg
{ 7, 0}, // #31 WriteV_A53WriteVLD4_A57Write_7cyc_3L_A57Write_7cyc_1V_1X_M3WriteVLDM_M3WriteVSTD_M3WriteFDIV10_M3WriteNEONV_M3WriteVLDD_M3WriteVLDG_M3WriteVLDJ_M4WriteVLDL_M4WriteVLDM_M4WriteFDIV7_M4WriteNEONV_M4WriteVLDJ_M4WriteVLDK_M4WriteFDIV7H_M4WriteFSQR7H_M4WriteNEONVH_M4WriteNEONXH_M5WriteVLDD_M5WriteVLDB_M5WriteVLDC_M5WriteVLDG_M5WriteFDIV7_M5WriteNEONV_M5WriteNEONP_M5WriteFSQR7_M5WriteNEONU_M5WriteLE_FalkorWr_5VXVY_7cyc_WriteFCvt_THX2T99Write_7Cyc_LS01_F01_THX2T99Write_7Cyc_F1_THX2T99Write_7Cyc_F01
{ 5, 0}, // #32 WriteVLD_M3WriteL5_M3WriteLA_M4WriteL5_M4WriteLA_THX2T99Write_5Cyc_LS01_I012_I012
{ 5, 0}, // #33 WriteVLD_M3WriteLH_M4WriteLH_WriteLDHi
{ 5, 0}, // #34 WriteVLD
{ 5, 0}, // #35 WriteVLD
{ 5, 0}, // #36 WriteVLD
{ 7, 0}, // #37 WriteV_A57Write_7cyc_3L_M3WriteVLDM_M3WriteVSTD_M3WriteVLDD_M3WriteVLDG_M3WriteVLDJ_M4WriteVLDL_M4WriteVLDM_M4WriteVLDJ_M4WriteVLDK_M5WriteVLDB_THX2T99Write_7Cyc_LS01_F01
{ 1, 0}, // #38 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M3WriteZ1_M4WriteA1_M5WriteA1X
{ 5, 0}, // #39 WriteVLD_A57Write_5cyc_1L_M3WriteL5_M3WriteVLDA_M4WriteL5_M4WriteVLDA_M4WriteVSTG_THX2T99Write_5Cyc_LS01_F01_THX2T99Write_5Cyc_LS01_I012
{ 1, 0}, // #40 WriteAdr_A57Write_1cyc_1I_M3WriteA1_M3WriteZ1_M4WriteA1_M4WriteZ1
{ 5, 0}, // #41 WriteVLD
{ 1, 0}, // #42 WriteAdr
{ 5, 0}, // #43 WriteVLD_M3WriteLB_M3WriteLC_M4WriteL5_M4WriteLB_M4WriteLC_THX2T99Write_5Cyc_LS01_I012_THX2T99Write_5Cyc_LS01_I012_I012
{ 5, 0}, // #44 WriteVLD_M3WriteLH_M4WriteLH_WriteLDHi
{ 1, 0}, // #45 WriteAdr_M3WriteZ1_M4WriteZ1
{ 5, 0}, // #46 WriteVLD
{ 5, 0}, // #47 WriteVLD
{ 5, 0}, // #48 WriteVLD
{ 1, 0}, // #49 WriteAdr
{ 5, 0}, // #50 WriteVLD
{ 5, 0}, // #51 WriteVLD
{ 5, 0}, // #52 WriteVLD
{ 7, 0}, // #53 WriteV
{ 2, 0}, // #54 WriteV
{ 7, 0}, // #55 WriteV
{ 7, 0}, // #56 WriteV
{ 7, 0}, // #57 WriteV
{ 1, 0}, // #58 WriteAdr_A57Write_1cyc_1I
{ 2, 0}, // #59 WriteV_A57Write_2cyc_1I_2S
{ 7, 0}, // #60 WriteV
{ 1, 0}, // #61 WriteAdr
{ 7, 0}, // #62 WriteV
{ 7, 0}, // #63 WriteV
{ 7, 0}, // #64 WriteV
{ 2, 0}, // #65 WriteV
{ 7, 0}, // #66 WriteV
{ 1, 0}, // #67 WriteAdr
{ 7, 0}, // #68 WriteV
{ 2, 0}, // #69 WriteV
{ 7, 0}, // #70 WriteV
{ 7, 0}, // #71 WriteV
{ 2, 0}, // #72 WriteV
{ 2, 0}, // #73 WriteV
{ 9, 0}, // #74 WriteV_A57Write_9cyc_2L_3V_A57Write_9cyc_2L_4V_A57Write_9cyc_2V_A57Write_9cyc_2L_2V_A57Write_9cyc_1L_3V_A57Write_9cyc_3V_A57Write_9cyc_1V_A57Write_9cyc_5V_M3WriteVLDK
{ 9, 0}, // #75 WriteV
{ 9, 0}, // #76 WriteV
{ 9, 0}, // #77 WriteV
{ 7, 0}, // #78 WriteV
{ 1, 0}, // #79 WriteAdr
{ 7, 0}, // #80 WriteV
{ 2, 0}, // #81 WriteV
{ 2, 0}, // #82 WriteV
{ 9, 0}, // #83 WriteV_A57Write_9cyc_2L_3V_A57Write_9cyc_2L_4V_A57Write_9cyc_2L_2V_A57Write_9cyc_1L_3V_M3WriteVLDK
{ 1, 0}, // #84 WriteAdr_A57Write_1cyc_1I_M3WriteA1
{ 9, 0}, // #85 WriteV
{ 9, 0}, // #86 WriteV
{ 9, 0}, // #87 WriteV
{ 1, 0}, // #88 WriteAdr
{ 4, 0}, // #89 WriteVST
{ 4, 0}, // #90 WriteVST
{ 1, 0}, // #91 WriteAdr
{ 4, 0}, // #92 WriteVST
{ 4, 0}, // #93 WriteVST
{ 4, 0}, // #94 WriteVST
{ 1, 0}, // #95 WriteAdr
{ 4, 0}, // #96 WriteVST
{ 4, 0}, // #97 WriteVST
{ 4, 0}, // #98 WriteVST_WriteLD_KryoWrite_4cyc_LS_XY_405ln
{ 4, 0}, // #99 WriteVST_WriteLDHi_KryoWrite_4cyc_LS_LS_X_X_X_LS_XY_LS_X_X_X_383ln_KryoWrite_4cyc_LS_LS_X_X_X_X_LS_XY_LS_X_X_X_X_389ln_KryoWrite_4cyc_LS_XY_X_298ln_KryoWrite_4cyc_LS_XY_X_X_321ln_KryoWrite_4cyc_LS_XY_X_X_X_338ln_KryoWrite_4cyc_LS_XY_LS_X_X_X_noRSV_noRSV_noRSV_380ln_KryoWrite_4cyc_LS_XY_X_X_X_X_355ln_KryoWrite_4cyc_LS_XY_LS_X_X_X_X_noRSV_noRSV_noRSV_noRSV_384ln_KryoWrite_4cyc_LS_XY_294ln
{ 6, 0}, // #100 WriteVST_M5WriteL6_M5WriteLA_M5WriteLB_M5WriteLC_WriteAdr
{ 6, 0}, // #101 WriteVST_M5WriteLH
{ 1, 0}, // #102 WriteAdr_M5WriteZ1
{ 6, 0}, // #103 WriteVST
{ 6, 0}, // #104 WriteVST
{ 6, 0}, // #105 WriteVST
{ 6, 0}, // #106 WriteVST
{ 6, 0}, // #107 WriteVST
{ 8, 0}, // #108 WriteVST
{ 8, 0}, // #109 WriteVST
{ 8, 0}, // #110 WriteVST
{ 8, 0}, // #111 WriteVST_A57Write_8cyc_1L_1V_A57Write_8cyc_4L_A57Write_8cyc_1L_2V_A57Write_8cyc_8S_A57Write_8cyc_8S_4V_M3WriteVSTE_M4WriteVSTI_THX2T99Write_8Cyc_LS01_F01
{ 1, 0}, // #112 WriteAdr_A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
{ 8, 0}, // #113 WriteVST
{ 8, 0}, // #114 WriteVST
{ 8, 0}, // #115 WriteVST
{ 8, 0}, // #116 WriteVST
{10, 0}, // #117 WriteFRSQRTS_WriteLD_A53WriteFMAC_A57Write_10cyc_3L_4V_A57Write_10cyc_3V_A57Write_10cyc_1L_1V_M3WriteVLDF_M3WriteNEONB_M4WriteVLDF_M5WriteD10_FalkorWr_1VX_1VY_10cyc_KryoWrite_10cyc_XA_Y_noRSV_43ln_KryoWrite_10cyc_XA_Y_noRSV_121ln_THXT8XWriteVST2_THXT8XWriteFMAC_THX2T99Write_10Cyc_F01
{ 7, 0}, // #118 WriteV
{ 1, 0}, // #119 WriteAdr
{ 2, 0}, // #120 WriteV
{ 2, 0}, // #121 WriteV
{ 7, 0}, // #122 WriteV
{ 2, 0}, // #123 WriteV
{ 2, 0}, // #124 WriteV
{ 2, 0}, // #125 WriteV
{ 7, 0}, // #126 WriteV
{ 1, 0}, // #127 WriteAdr
{ 2, 0}, // #128 WriteV
{ 2, 0}, // #129 WriteV
{ 2, 0}, // #130 WriteV
{ 6, 0}, // #131 WriteVST_A57Write_6cyc_2L_WriteAdr
{ 4, 0}, // #132 WriteVST_WriteLDHi_WriteSTP
{ 1, 0}, // #133 WriteAdr_A57Write_1cyc_1I
{ 6, 0}, // #134 WriteVST
{ 4, 0}, // #135 WriteVST
{ 3, 109}, // #136 CyWriteVAccum
{ 3, 0}, // #137 CyWriteV3_CyWritePMUL_CyWriteCrypto3_WriteExtr_A57Write_3cyc_1V_A57Write_3cyc_1S_1V_A57Write_3cyc_2S_1V_A57Write_3cyc_1I_1M_A57Write_3cyc_3S_A57Write_3cyc_3S_2V_A57Write_3cyc_2V_A57Write_3cyc_1I_1S_WriteFCvt_WriteVST_M3WriteNMSC3_M3WriteNCRY3A_M3WriteNSHT3_M3WriteNEONA_M3WriteFCVT3_M3WriteFMAC3_M3WriteFCVT3A_M3WriteNSHF3_M3WriteSA_M4WriteFMAC3_M4WriteC3_M4WriteVST1_M4WriteNHAD3_M4WriteNCRY3A_M4WriteFCVT3A_M4WriteNSHFC_M4WriteFCVT3H_M4WriteNEONQ_M4WriteSA_M4WriteFMAC3H_M4WriteAC_M4WriteVSTK_M5WriteFMAC3_M5WriteCA_M5WriteVSTB_M5WriteNHAD3_M5WriteNCRY3A_M5WriteFCVT3A_M5WriteFCVTA_M5WriteNEONQ_M5WriteAC_M5WriteVSTK_FalkorWr_1LD_3cyc_FalkorWr_2LD_3cyc_FalkorWr_3LD_3cyc_FalkorWr_4LD_3cyc_FalkorWr_1LD_1none_3cyc_FalkorWr_2VXVY_3cyc_FalkorWr_2LD_1none_3cyc_FalkorWr_2LD_2none_3cyc_FalkorWr_4VXVY_3cyc_FalkorWr_1VXVY_3cyc_FalkorWr_3VXVY_3cyc_FalkorWr_1LD_1Z_3cyc_FalkorWr_2LD_1Z_3cyc_FalkorWr_1ST_1SD_1LD_3cyc_FalkorWr_2LD_1ST_1SD_3cyc_FalkorWr_1ST_3cyc_KryoWrite_3cyc_LS_255ln_KryoWrite_3cyc_LS_256ln_KryoWrite_3cyc_LS_LS_281ln_KryoWrite_3cyc_LS_LS_LS_308ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_351ln_KryoWrite_3cyc_LS_257ln_KryoWrite_3cyc_LS_LS_282ln_KryoWrite_3cyc_LS_noRSV_noRSV_311ln_KryoWrite_3cyc_LS_LS_283ln_KryoWrite_3cyc_LS_LS_LS_310ln_KryoWrite_3cyc_LS_LS_LS_309ln_KryoWrite_3cyc_LS_LS_284ln_KryoWrite_3cyc_LS_LS_LS_LS_330ln_KryoWrite_3cyc_LS_LS_LS_LS_329ln_KryoWrite_3cyc_XY_XY_X_X_27ln_KryoWrite_3cyc_XY_4ln_KryoWrite_3cyc_LS_noRSV_286ln_KryoWrite_3cyc_LS_noRSV_285ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_348ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_noRSV_358ln_KryoWrite_3cyc_LS_noRSV_noRSV_312ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_349ln_KryoWrite_3cyc_LS_LS_noRSV_noRSV_noRSV_noRSV_359ln_KryoWrite_3cyc_XY_XY_XY_XY_177ln_KryoWrite_3cyc_XY_noRSV_184ln_KryoWrite_3cyc_XY_XY_237ln_KryoWrite_3cyc_X_X_155ln_KryoWrite_3cyc_X_noRSV_138ln_KryoWrite_3cyc_XY_noRSV_247ln_KryoWrite_3cyc_XY_XY_250ln_KryoWrite_3cyc_XY_noRSV_246ln_KryoWrite_3cyc_XY_XY_251ln_KryoWrite_3cyc_XY_noRSV_101ln_KryoWrite_3cyc_XY_XY_103ln_KryoWrite_3cyc_XY_noRSV_100ln_KryoWrite_3cyc_XY_noRSV_162ln_KryoWrite_3cyc_XY_noRSV_156ln_KryoWrite_3cyc_XY_noRSV_99ln_KryoWrite_3cyc_XY_noRSV_28ln_KryoWrite_3cyc_XY_XY_168ln_KryoWrite_3cyc_XY_XY_102ln_KryoWrite_3cyc_X_X_X_X_noRSV_223ln_KryoWrite_3cyc_X_X_X_X_X_X_X_X_227ln_KryoWrite_3cyc_XY_noRSV_30ln_KryoWrite_3cyc_LS_noRSV_287ln_KryoWrite_3cyc_LS_264ln_KryoWrite_3cyc_LS_noRSV_288ln_KryoWrite_3cyc_LS_263ln_KryoWrite_3cyc_XY_XY_164ln_KryoWrite_3cyc_XY_XY_166ln_KryoWrite_3cyc_XY_XY_167ln_KryoWrite_3cyc_XY_XY_165ln_KryoWrite_3cyc_XY_noRSV_29ln_KryoWrite_3cyc_X_X_139ln_KryoWrite_3cyc_XY_XY_X_X_26ln_KryoWrite_3cyc_XY_noRSV_107ln_KryoWrite_3cyc_XY_noRSV_157ln_KryoWrite_3cyc_XY_noRSV_159ln_KryoWrite_3cyc_X_noRSV_154ln_KryoWrite_3cyc_XY_noRSV_158ln_KryoWrite_3cyc_XY_noRSV_69ln_KryoWrite_3cyc_XY_noRSV_248ln_KryoWrite_3cyc_XY_noRSV_249ln_KryoWrite_3cyc_XY_noRSV_161ln_KryoWrite_3cyc_XY_noRSV_160ln_KryoWrite_3cyc_X_noRSV_244ln_KryoWrite_3cyc_XY_noRSV_68ln_KryoWrite_3cyc_XY_XY_noRSV_170ln_KryoWrite_3cyc_XY_XY_187ln_KryoWrite_3cyc_X_X_245ln_KryoWrite_3cyc_XY_XY_XY_noRSV_179ln_KryoWrite_3cyc_XY_noRSV_50ln_KryoWrite_3cyc_X_X_X_X_X_noRSV_225ln_KryoWrite_3cyc_X_X_X_X_X_X_X_noRSV_228ln_KryoWrite_3cyc_LS_261ln_KryoWrite_3cyc_LS_262ln_KryoWrite_3cyc_LS_260ln_KryoWrite_3cyc_LS_259ln_KryoWrite_3cyc_LS_258_1ln_KryoWrite_3cyc_LS_X_13ln_KryoWrite_3cyc_LS_LS_X_15ln_KryoWrite_3cyc_LS_Y_404ln_KryoWrite_3cyc_LS_LS_Y_307ln_KryoWrite_3cyc_XY_noRSV_186ln_KryoWrite_3cyc_XY_noRSV_163ln_KryoWrite_3cyc_LS_LS_400ln_WriteLD_WriteLDIdx_THX2T99Write_3Cyc_I1
{ 4, 110}, // #138 CyWriteVMul
{ 5, 1028}, // #139 WriteI
{10, 97}, // #140 CyWriteDMul
{ 2, 108}, // #141 CyWriteVADDLP
{ 3, 107}, // #142 CyWriteVABD
{ 2, 111}, // #143 CyWriteVSHR
{ 3, 112}, // #144 CyWriteVSRSHR
{ 3, 113}, // #145 CyWriteVShiftAcc
{ 5, 106}, // #146 CyWriteV64Mul
{ 8, 100}, // #147 CyWriteSMul
{ 3, 1028}, // #148 WriteI
{ 2, 1034}, // #149 WriteIS
{33, 0}, // #150 WriteFDiv_A53WriteFDivDP
{ 4, 0}, // #151 WriteLD
{ 4, 0}, // #152 WriteLDHi_A53WriteVLD1_A53WriteVST1
{ 0, 0}, // #153 WriteAdr
{ 4, 1033}, // #154 WriteIM64
{ 3, 1036}, // #155 WriteImm
{ 4, 1029}, // #156 WriteID32
{ 4, 1030}, // #157 WriteID64
{ 0, 0}, // #158 WriteAdr
{ 4, 0}, // #159 WriteSTP
{ 3, 1028}, // #160 WriteI
{ 4, 0}, // #161 WriteLD
{ 3, 1028}, // #162 WriteI
{ 1, 0}, // #163 WriteBrReg
{ 5, 0}, // #164 A53WriteVLD2_A53WriteVST2
{ 0, 0}, // #165 WriteAdr
{ 6, 0}, // #166 A53WriteVLD3_A53WriteVST3_WriteAdr_THXT8XWriteVLD1
{ 0, 0}, // #167 WriteAdr_KryoWrite_0cyc_LS_Y_LS_Y_323ln_KryoWrite_0cyc_LS_Y_LS_Y_XY_LS_Y_LS_Y_377ln_KryoWrite_0cyc_LS_Y_LS_Y_XY_LS_Y_LS_Y_379ln
{ 7, 0}, // #168 A53WriteVLD4
{ 0, 0}, // #169 WriteAdr
{ 8, 0}, // #170 A53WriteVLD5
{ 0, 0}, // #171 WriteAdr
{18, 0}, // #172 A53WriteFDivSP_M3WriteFSQR17_M3WriteNEONX
{32, 0}, // #173 A53WriteFSqrtDP_A57Write_32cyc_1W
{ 3, 1035}, // #174 WriteISReg
{ 3, 1031}, // #175 WriteIEReg
{ 3, 1032}, // #176 WriteIM32
{19, 0}, // #177 A57Write_19cyc_1M
{35, 0}, // #178 A57Write_35cyc_1M
{ 1, 0}, // #179 A57Write_1cyc_1I_A57Write_1cyc_1S_M3WriteZ1_WriteVST_M3WriteSC_M4WriteZ1_M4WriteVST1_M4WriteVSTJ_M5WriteZ1_M5WriteVST1_M5WriteVSTJ_WriteAdr_THX2T99Write_1Cyc_LS01_F01
{ 1, 0}, // #180 A57Write_1cyc_1S_A57Write_1cyc_1I_A57Write_1cyc_1I_1S_M3WriteS1_M3WriteZ1_M4WriteS1_M4WriteA1_M4WriteZ1_M5WriteS1_M5WriteA1X_M5WriteZ1_WriteSTP_WriteAdr
{ 1, 0}, // #181 A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteA1W_M5WriteZ1
{ 4, 0}, // #182 A57Write_4cyc_1L_M3WriteL4_M4WriteL4_M5WriteL4_M5WriteVSTC_M5WriteVSTG_M5WriteVSTF_M5WriteVSTL
{ 1, 0}, // #183 A57Write_1cyc_1I_M3WriteA1_M4WriteA1_M5WriteA1W_M5WriteA1X
{ 1, 0}, // #184 A57Write_1cyc_1B_M3WriteC1_M4WriteC1_M5WriteC1_M5WriteZ1
{10, 0}, // #185 A57Write_10cyc_3L_4V_M3WriteVLDF_M4WriteVLDF
{ 1, 0}, // #186 A57Write_1cyc_1I_M3WriteA1_M4WriteA1
{11, 0}, // #187 A57Write_11cyc_4L_4V_M5WriteVLDF_FalkorWr_1X_1Z_11cyc_THXT8XWriteVLD2_THXT8XWriteVST3
{11, 0}, // #188 A57Write_11cyc_4L_4V
{ 1, 0}, // #189 A57Write_1cyc_1I
{ 3, 0}, // #190 A57Write_3cyc_1S_1V_A57Write_3cyc_2S_1V_A57Write_3cyc_3S_A57Write_3cyc_3S_2V_WriteVST_M4WriteVST1
{ 1, 0}, // #191 A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
{ 2, 0}, // #192 A57Write_2cyc_2S_WriteVST_M4WriteVST1_M4WriteVSTD_M4WriteVSTE
{ 1, 0}, // #193 A57Write_1cyc_1I_M3WriteZ1_M4WriteA1
{34, 0}, // #194 A57Write_34cyc_2W
{64, 0}, // #195 A57Write_64cyc_2W
{ 3, 33}, // #196 A57Write_3cyc_1W
{ 5, 19}, // #197 A57WriteIVMA
{ 4, 18}, // #198 A57WriteIVA
{ 9, 15}, // #199 A57WriteFPVMAD
{10, 16}, // #200 A57WriteFPVMAQ
{12, 0}, // #201 A57Write_12cyc_4V_A57Write_12cyc_7V_WriteFDiv_M3WriteVLDI_M3WriteFDIV12_M3WriteNEONW_M4WriteFDIV12_M4WriteD12_M4WriteVLDI_M4WriteNEONW_M4WriteNEONY_M4WriteFSQR12_M5WriteFDIV12_M5WriteNEONW_M5WriteNEONY_M5WriteFSQR12_FalkorWr_1VX_1VY_12cyc_WriteAdr_KryoWrite_12cyc_XA_Y_noRSV_120ln_KryoWrite_12cyc_XA_Y_noRSV_42ln_THXT8XWriteVLD3_THXT8XWriteFDivSP
{15, 0}, // #202 A57Write_15cyc_9V_M3WriteVSTF_M5WriteVLDN_M5WriteLFW_M5WriteLFX
{ 9, 14}, // #203 A57WriteFPMA
{ 5, 0}, // #204 A57Write_5cyc_1L_A57Write_5cyc_1I_2L
{ 4, 0}, // #205 WriteLDHi
{ 1, 0}, // #206 A57Write_1cyc_1I
{12, 1029}, // #207 WriteID32
{21, 1030}, // #208 WriteID64
{12, 0}, // #209 M3WriteVLDI_M4WriteVLDI
{ 1, 0}, // #210 M3WriteA1_M4WriteA1
{14, 0}, // #211 M3WriteVLDN_M3WriteVSTH_M4WriteVLDN_FalkorWr_1VX_1VY_14cyc_FalkorWr_2VX_2VY_14cyc_KryoWrite_14cyc_XA_Y_noRSV_43ln_KryoWrite_14cyc_XA_Y_XA_Y_123ln
{14, 0}, // #212 M3WriteVLDN_M3WriteVSTH_M4WriteVLDN
{ 1, 0}, // #213 M3WriteA1_M3WriteZ1_M4WriteA1
{16, 0}, // #214 M3WriteVSTG_M5WriteD16_THX2T99XWriteFDivSP_THX2T99XWriteFSqrtSP
{15, 0}, // #215 M3WriteVSTF
{ 1, 0}, // #216 M3WriteZ1
{16, 0}, // #217 M3WriteVSTG
{ 1, 0}, // #218 M3WriteZ1
{17, 0}, // #219 M3WriteVSTI
{ 1, 0}, // #220 M3WriteZ1
{ 4, 638}, // #221 M3WriteFMAC4
{ 1, 618}, // #222 M3WriteAES
{ 3, 670}, // #223 M3WriteNMUL3
{25, 0}, // #224 M3WriteNEONY_M3WriteFSQR25
{ 5, 639}, // #225 M3WriteFMAC5
{21, 0}, // #226 M4WriteD21_FalkorWr_2VX_2VY_21cyc_FalkorWr_1VX_1VY_21cyc_KryoWrite_21cyc_XA_Y_XA_Y_122ln_KryoWrite_21cyc_XA_Y_noRSV_42ln
{ 4, 741}, // #227 M4WriteFMAC4H
{ 4, 740}, // #228 M4WriteFMAC4
{ 1, 758}, // #229 M4WriteNCRY1
{ 3, 783}, // #230 M4WriteNMUL3
{13, 0}, // #231 M5WriteLGW_M5WriteVLDI_M5WriteLGX_THXT8XWriteVLD4_THXT8XWriteVLD5
{ 7, 0}, // #232 M5WriteVLDD_M5WriteVLDB_M5WriteVLDC_M5WriteVLDG
{ 1, 0}, // #233 M5WriteA1X
{ 1, 0}, // #234 M5WriteZ1
{ 6, 0}, // #235 M5WriteL6_M5WriteVLDA
{ 1, 0}, // #236 M5WriteA1X
{ 1, 0}, // #237 M5WriteZ1
{11, 0}, // #238 M5WriteVLDF
{ 1, 0}, // #239 M5WriteA1X
{ 1, 0}, // #240 M5WriteZ1
{ 8, 0}, // #241 M5WriteVLDL_M5WriteVLDM_M5WriteVSTI_M5WriteVLDJ_M5WriteVLDK
{ 1, 0}, // #242 M5WriteA1X
{ 1, 0}, // #243 M5WriteZ1
{13, 0}, // #244 M5WriteVLDI
{ 1, 0}, // #245 M5WriteA1X
{ 1, 0}, // #246 M5WriteZ1
{15, 0}, // #247 M5WriteVLDN
{ 1, 0}, // #248 M5WriteA1X
{ 1, 0}, // #249 M5WriteZ1
{ 2, 0}, // #250 M5WriteVSTA_M5WriteVSTD_M5WriteVSTE
{ 1, 0}, // #251 M5WriteA1X
{ 1, 0}, // #252 M5WriteZ1
{ 3, 0}, // #253 M5WriteVSTB
{ 1, 0}, // #254 M5WriteA1X
{ 1, 0}, // #255 M5WriteZ1
{ 4, 863}, // #256 M5WriteFMAC4
{ 2, 887}, // #257 M5WriteNCRY2
{ 2, 850}, // #258 M5WriteF2
{ 3, 910}, // #259 M5WriteNMUL3
{ 2, 216}, // #260 FalkorWr_LdInc_none_2cyc
{ 3, 0}, // #261 FalkorWr_1LD_3cyc_FalkorWr_2LD_3cyc_FalkorWr_3LD_3cyc_FalkorWr_4LD_3cyc_FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_1none_3cyc_FalkorWr_2LD_2none_3cyc
{ 2, 216}, // #262 FalkorWr_LdInc_none_2cyc
{ 4, 0}, // #263 FalkorWr_2LD_2VXVY_1XYZ_2LD_2VXVY_4cyc_FalkorWr_2LD_2VXVY_2LD_1XYZ_2VXVY_4cyc_FalkorWr_1LD_1VXVY_4cyc_FalkorWr_1LD_2VXVY_4cyc_FalkorWr_1LD_3VXVY_4cyc_FalkorWr_2LD_2VXVY_1none_4cyc_FalkorWr_1LD_4VXVY_4cyc_FalkorWr_2LD_2VXVY_2none_4cyc_FalkorWr_1LD_4cyc
{ 2, 223}, // #264 FalkorWr_StInc_none_2cyc
{ 0, 0}, // #265 FalkorWr_1VSD_1ST_0cyc_FalkorWr_2VSD_2ST_0cyc_FalkorWr_1SD_1ST_0cyc_WriteAdr_THX2T99Write_1Cyc_LS01
{ 1, 0}, // #266 FalkorWr_1XYZ_1cyc_WriteSTP_THXT8XWriteVST1_WriteAdr
{ 0, 0}, // #267 FalkorWr_1VSD_1ST_0cyc_FalkorWr_2VSD_2ST_0cyc_FalkorWr_3VSD_3ST_0cyc_FalkorWr_4VSD_4ST_0cyc_FalkorWr_2VXVY_4ST_4VSD_0cyc_FalkorWr_4VXVY_4ST_4VSD_0cyc_FalkorWr_1VXVY_2ST_2VSD_0cyc_FalkorWr_2VXVY_2ST_2VSD_0cyc_WriteAdr_THX2T99Write_1Cyc_LS01_THX2T99Write_1Cyc_LS01_I012_THX2T99Write_1Cyc_LS01_I012_I012
{20, 0}, // #268 FalkorWr_2VX_2VY_20cyc
{ 5, 208}, // #269 FalkorWr_FMUL32_2VXVY_5cyc
{ 6, 210}, // #270 FalkorWr_FMUL64_2VXVY_6cyc
{ 5, 213}, // #271 FalkorWr_IMUL64_1X_5cyc
{ 4, 224}, // #272 FalkorWr_VMUL32_1VXVY_4cyc
{ 4, 225}, // #273 FalkorWr_VMUL32_2VXVY_4cyc
{24, 0}, // #274 FalkorWr_2VX_2VY_24cyc
{ 6, 209}, // #275 FalkorWr_FMUL64_1VXVY_6cyc
{ 5, 207}, // #276 FalkorWr_FMUL32_1VXVY_5cyc
{ 3, 0}, // #277 FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_3cyc_FalkorWr_1LD_3cyc_WriteLD
{ 3, 0}, // #278 FalkorWr_none_3cyc_WriteLDHi
{ 2, 216}, // #279 FalkorWr_LdInc_none_2cyc
{ 3, 0}, // #280 FalkorWr_1LD_1none_3cyc_FalkorWr_2LD_3cyc_FalkorWr_1LD_3cyc
{ 3, 0}, // #281 FalkorWr_none_3cyc
{ 2, 216}, // #282 FalkorWr_LdInc_none_2cyc
{ 4, 0}, // #283 FalkorWr_1LD_4cyc
{ 4, 0}, // #284 FalkorWr_none_4cyc
{ 4, 212}, // #285 FalkorWr_IMUL64_1X_4cyc
{ 4, 211}, // #286 FalkorWr_IMUL32_1X_2cyc
{ 5, 1032}, // #287 WriteIM32
{ 8, 1029}, // #288 WriteID32
{ 8, 1030}, // #289 WriteID64
{ 1, 1028}, // #290 WriteI
{ 4, 0}, // #291 WriteLD
{ 1, 1028}, // #292 WriteI
{ 1, 0}, // #293 WriteBrReg
{ 3, 0}, // #294 KryoWrite_3cyc_LS_XY_289ln_KryoWrite_3cyc_LS_XY_290ln_KryoWrite_3cyc_LS_XY_LS_313ln_KryoWrite_3cyc_LS_XY_LS_LS_332ln_KryoWrite_3cyc_LS_LS_LS_LS_328ln_KryoWrite_3cyc_LS_XY_291ln_KryoWrite_3cyc_LS_XY_LS_314ln_KryoWrite_3cyc_LS_XY_noRSV_noRSV_334ln_KryoWrite_3cyc_LS_XY_LS_315ln_KryoWrite_3cyc_LS_XY_LS_LS_333ln_KryoWrite_3cyc_LS_XY_LS_LS_331ln_KryoWrite_3cyc_LS_XY_LS_316ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_352ln_KryoWrite_3cyc_LS_XY_LS_LS_LS_350ln_KryoWrite_3cyc_LS_XY_noRSV_318ln_KryoWrite_3cyc_LS_XY_noRSV_317ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_360ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_noRSV_368ln_KryoWrite_3cyc_LS_XY_noRSV_noRSV_335ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_361ln_KryoWrite_3cyc_LS_XY_LS_noRSV_noRSV_noRSV_noRSV_369ln_KryoWrite_3cyc_LS_XY_noRSV_319ln_KryoWrite_3cyc_LS_XY_293ln_KryoWrite_3cyc_LS_XY_292ln
{ 6, 0}, // #295 WriteAdr
{36, 0}, // #296 KryoWrite_36cyc_XA_Y_XA_Y_122ln
{ 3, 0}, // #297 KryoWrite_3cyc_LS_noRSV_noRSV_408ln_KryoWrite_3cyc_LS_LS_401ln_KryoWrite_3cyc_LS_noRSV_noRSV_409ln_KryoWrite_3cyc_LS_LS_402ln_KryoWrite_3cyc_LS_394ln_KryoWrite_3cyc_LS_393ln_KryoWrite_3cyc_LS_258ln_KryoWrite_3cyc_LS_LS_400ln
{ 4, 0}, // #298 WriteLDHi
{ 3, 0}, // #299 KryoWrite_3cyc_LS_XY_noRSV_noRSV_411ln_KryoWrite_3cyc_LS_XY_LS_410ln_KryoWrite_3cyc_LS_XY_403ln
{ 4, 0}, // #300 WriteLDHi
{ 6, 0}, // #301 WriteAdr
{22, 0}, // #302 WriteFDiv_THXT8XWriteFDivDP
{ 3, 0}, // #303 WriteLD
{ 3, 0}, // #304 WriteLDHi
{ 0, 0}, // #305 WriteAdr
{14, 1030}, // #306 WriteID64
{ 1, 1028}, // #307 WriteI
{ 3, 0}, // #308 WriteLD
{ 1, 1028}, // #309 WriteI
{ 1, 0}, // #310 WriteBrReg
{11, 0}, // #311 THXT8XWriteVLD2_THXT8XWriteVST3
{ 0, 0}, // #312 WriteAdr
{12, 0}, // #313 THXT8XWriteVLD3
{ 0, 0}, // #314 WriteAdr
{13, 0}, // #315 THXT8XWriteVLD4_THXT8XWriteVLD5
{ 0, 0}, // #316 WriteAdr
{10, 0}, // #317 THXT8XWriteVST2
{ 0, 0}, // #318 WriteAdr
{31, 0}, // #319 THXT8XWriteFSqrtDP
{ 1, 1031}, // #320 WriteIEReg
{ 4, 0}, // #321 WriteLD
{ 5, 0}, // #322 WriteLDHi
{ 4, 0}, // #323 WriteLD
{ 5, 0}, // #324 WriteLDHi
{ 1, 0}, // #325 WriteAdr
{39, 1029}, // #326 WriteID32
{23, 1030}, // #327 WriteID64
{23, 0}, // #328 THX2T99XWriteFDivDP_THX2T99XWriteFSqrtDP
{ 5, 0}, // #329 THX2T99Write_5Cyc_LS01_I012
{ 1, 1028}, // #330 WriteI
{16, 0}, // #331 THX2T99Write_16Cyc_I012
{ 4, 0}, // #332 WriteAtomic
{ 8, 0}, // #333 THX2T99Write_8Cyc_I012
{ 4, 0}, // #334 WriteAtomic
{12, 0}, // #335 THX2T99Write_12Cyc_I012
{ 4, 0} // #336 WriteAtomic
}; // AArch64WriteLatencyTable
// {UseIdx, WriteResourceID, Cycles}
extern const llvm::MCReadAdvanceEntry AArch64ReadAdvanceTable[] = {
{0, 0, 0}, // Invalid
{0, 0, 0}, // #1
{1, 0, 0}, // #2
{0, 0, 1}, // #3
{0, 0, 0}, // #4
{1, 0, 0}, // #5
{2, 0, 0}, // #6
{0, 0, 5}, // #7
{0, 107, 1}, // #8
{0, 108, 1}, // #9
{0, 109, 1}, // #10
{0, 106, 1}, // #11
{0, 110, 1}, // #12
{0, 97, 5}, // #13
{0, 111, 1}, // #14
{0, 112, 1}, // #15
{0, 113, 1}, // #16
{0, 100, 4}, // #17
{0, 1028, 2}, // #18
{0, 1029, 2}, // #19
{0, 1030, 2}, // #20
{0, 1031, 2}, // #21
{0, 1032, 2}, // #22
{0, 1033, 2}, // #23
{0, 1034, 2}, // #24
{0, 1035, 2}, // #25
{0, 1036, 2}, // #26
{1, 1028, 2}, // #27
{1, 1029, 2}, // #28
{1, 1030, 2}, // #29
{1, 1031, 2}, // #30
{1, 1032, 2}, // #31
{1, 1033, 2}, // #32
{1, 1034, 2}, // #33
{1, 1035, 2}, // #34
{1, 1036, 2}, // #35
{0, 1028, 1}, // #36
{0, 1029, 1}, // #37
{0, 1030, 1}, // #38
{0, 1031, 1}, // #39
{0, 1032, 1}, // #40
{0, 1033, 1}, // #41
{0, 1034, 1}, // #42
{0, 1035, 1}, // #43
{0, 1036, 1}, // #44
{1, 1028, 1}, // #45
{1, 1029, 1}, // #46
{1, 1030, 1}, // #47
{1, 1031, 1}, // #48
{1, 1032, 1}, // #49
{1, 1033, 1}, // #50
{1, 1034, 1}, // #51
{1, 1035, 1}, // #52
{1, 1036, 1}, // #53
{2, 1028, 2}, // #54
{2, 1029, 2}, // #55
{2, 1030, 2}, // #56
{2, 1031, 2}, // #57
{2, 1032, 2}, // #58
{2, 1033, 2}, // #59
{2, 1034, 2}, // #60
{2, 1035, 2}, // #61
{2, 1036, 2}, // #62
{0, 1028, 2}, // #63
{0, 1029, 2}, // #64
{0, 1030, 2}, // #65
{0, 1031, 2}, // #66
{0, 1032, 2}, // #67
{0, 1033, 2}, // #68
{0, 1034, 2}, // #69
{0, 1035, 2}, // #70
{0, 1036, 2}, // #71
{1, 1028, 1}, // #72
{1, 1029, 1}, // #73
{1, 1030, 1}, // #74
{1, 1031, 1}, // #75
{1, 1032, 1}, // #76
{1, 1033, 1}, // #77
{1, 1034, 1}, // #78
{1, 1035, 1}, // #79
{1, 1036, 1}, // #80
{0, 0, 0}, // #81
{1, 0, 0}, // #82
{2, 1032, 2}, // #83
{2, 1033, 2}, // #84
{0, 33, 3}, // #85
{0, 19, 4}, // #86
{0, 18, 3}, // #87
{0, 15, 5}, // #88
{0, 16, 5}, // #89
{0, 0, 0}, // #90
{1, 0, 0}, // #91
{2, 14, 5}, // #92
{0, 0, 0}, // #93
{1, 0, 0}, // #94
{2, 1032, 3}, // #95
{2, 1033, 3}, // #96
{0, 638, 1}, // #97
{0, 639, 1}, // #98
{0, 618, 1}, // #99
{0, 670, 1}, // #100
{0, 0, 1}, // #101
{0, 740, 1}, // #102
{0, 741, 1}, // #103
{0, 758, 1}, // #104
{0, 783, 1}, // #105
{0, 783, -2}, // #106
{0, 0, 1}, // #107
{0, 863, 1}, // #108
{0, 887, 2}, // #109
{0, 850, 1}, // #110
{0, 910, 1}, // #111
{0, 216, 1}, // #112
{1, 223, 1}, // #113
{0, 224, 3}, // #114
{0, 225, 3}, // #115
{0, 209, 2}, // #116
{0, 210, 2}, // #117
{0, 207, 1}, // #118
{0, 208, 1}, // #119
{2, 209, 2}, // #120
{2, 210, 2}, // #121
{2, 223, 1}, // #122
{2, 207, 1}, // #123
{2, 208, 1}, // #124
{2, 212, 4}, // #125
{2, 213, 4}, // #126
{2, 211, 3}, // #127
{3, 223, 1}, // #128
{0, 0, 2} // #129
}; // AArch64ReadAdvanceTable
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CycloneModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 2, 1, 2, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 2, 1, 2, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 3, 2, 3, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 3, 2, 4, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 1, false, false, 2, 1, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 2, 1, 2, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 5, 2, 6, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 7, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 7, 2, 0, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 9, 3, 0, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 12, 1, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false, 3, 2, 1, 1, 3, 1}, // #14
{DBGFIELD("WriteF") 1, false, false, 1, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 13, 3, 8, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 1, 1, 8, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 16, 3, 9, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 19, 2, 8, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 12, 1, 10, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 1, 1, 1, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 0, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 12, 1, 8, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 12, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 11, 2, 13, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 2, false, false, 11, 2, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("WriteLDAdr") 2, false, false, 11, 2, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 21, 4, 16, 1, 4, 3}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 21, 4, 17, 1, 4, 3}, // #31
{DBGFIELD("WriteImm") 1, false, false, 2, 1, 18, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 2, false, false, 3, 1, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 25, 4, 19, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 29, 4, 20, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 21, 4, 17, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 2, false, false, 12, 2, 21, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 3, false, false, 11, 3, 22, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 2, false, false, 11, 2, 24, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 2, false, false, 33, 1, 26, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 34, 4, 27, 4, 0, 0}, // #42
{DBGFIELD("COPY") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 12, 2, 31, 1, 7, 1}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 2, false, false, 12, 2, 31, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 12, 1, 10, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 33, 1, 32, 2, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 38, 1, 34, 3, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 39, 1, 32, 4, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 11, 3, 37, 2, 7, 1}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 11, 3, 37, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 11, 2, 39, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 40, 2, 41, 3, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 42, 2, 44, 4, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 44, 2, 48, 5, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 46, 2, 53, 2, 7, 1}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 46, 2, 53, 2, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false, 46, 2, 53, 2, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 4, false, false, 48, 2, 55, 2, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 50, 3, 57, 3, 7, 1}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 50, 3, 57, 3, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 4, false, false, 50, 3, 57, 3, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 53, 3, 60, 3, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 56, 2, 63, 3, 7, 1}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 5, false, false, 56, 2, 63, 3, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 6, false, false, 58, 2, 55, 3, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 6, false, false, 58, 2, 55, 3, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 60, 3, 66, 4, 7, 1}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 6, false, false, 60, 3, 66, 4, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 7, false, false, 63, 3, 60, 4, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 7, false, false, 63, 3, 60, 4, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 66, 2, 70, 4, 7, 1}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 6, false, false, 66, 2, 70, 4, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 12, false, false, 68, 2, 74, 4, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 12, false, false, 68, 2, 74, 4, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 6, false, false, 60, 3, 66, 4, 7, 1}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 7, false, false, 70, 3, 78, 5, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 13, false, false, 73, 3, 83, 5, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 13, false, false, 73, 3, 83, 5, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 12, 2, 21, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 12, 1, 8, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 33, 1, 11, 2, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 38, 1, 11, 3, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 39, 1, 11, 4, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 11, 2, 24, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 40, 2, 88, 3, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 42, 2, 91, 4, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 44, 2, 95, 5, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 12, 2, 21, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 12, 2, 21, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 48, 2, 100, 2, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 48, 2, 100, 2, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 6, false, false, 58, 2, 105, 3, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 6, false, false, 58, 2, 103, 3, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 7, false, false, 63, 3, 102, 4, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 7, false, false, 63, 3, 102, 4, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 48, 2, 100, 2, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 68, 2, 108, 4, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 12, false, false, 68, 2, 108, 4, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 73, 3, 112, 5, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 13, false, false, 73, 3, 112, 5, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 19, 2, 8, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 19, 2, 117, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 19, 2, 117, 1, 0, 0}, // #116
{DBGFIELD("BL") 1, false, false, 7, 2, 0, 1, 0, 0}, // #117
{DBGFIELD("BLR") 1, false, false, 9, 3, 0, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 21, 4, 17, 1, 1, 2}, // #120
{DBGFIELD("EXTRWrri") 2, false, false, 3, 2, 1, 1, 3, 1}, // #121
{DBGFIELD("EXTRXrri") 2, false, false, 3, 2, 1, 1, 3, 1}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 5, 2, 6, 1, 0, 1}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 1, 1, 1, 1, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 76, 2, 21, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 76, 2, 21, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 3, 2, 3, 1, 1, 2}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 12, 2, 31, 1, 7, 1}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 11, 3, 37, 2, 7, 1}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false, 12, 2, 31, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 3, false, false, 11, 3, 37, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 2, false, false, 12, 2, 31, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 3, false, false, 11, 3, 37, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 12, 1, 10, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 11, 2, 39, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 12, 1, 10, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 11, 2, 39, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 2, false, false, 33, 1, 32, 2, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 40, 2, 39, 3, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 2, false, false, 33, 1, 32, 2, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 3, false, false, 40, 2, 39, 3, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 46, 2, 53, 2, 7, 1}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 50, 3, 57, 3, 7, 1}, // #149
{DBGFIELD("LD2i32") 3, false, false, 46, 2, 53, 2, 7, 1}, // #150
{DBGFIELD("LD2i32_POST") 4, false, false, 50, 3, 57, 3, 7, 1}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false, 46, 2, 53, 2, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 4, false, false, 50, 3, 57, 3, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 3, false, false, 46, 2, 53, 2, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 4, false, false, 50, 3, 57, 3, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 4, false, false, 48, 2, 55, 2, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 53, 3, 60, 3, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 78, 2, 71, 3, 7, 1}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 80, 3, 118, 4, 7, 1}, // #159
{DBGFIELD("LD3i32") 4, false, false, 78, 2, 71, 3, 7, 1}, // #160
{DBGFIELD("LD3i32_POST") 5, false, false, 80, 3, 118, 4, 7, 1}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 4, false, false, 78, 2, 71, 3, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 5, false, false, 80, 3, 118, 4, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 5, false, false, 56, 2, 63, 3, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 6, false, false, 60, 3, 66, 4, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 4, false, false, 78, 2, 71, 3, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 80, 3, 118, 4, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 5, false, false, 56, 2, 63, 3, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 6, false, false, 60, 3, 66, 4, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 83, 2, 122, 4, 7, 1}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 85, 3, 126, 5, 7, 1}, // #171
{DBGFIELD("LD4i32") 5, false, false, 83, 2, 122, 4, 7, 1}, // #172
{DBGFIELD("LD4i32_POST") 6, false, false, 85, 3, 126, 5, 7, 1}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 5, false, false, 83, 2, 122, 4, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 6, false, false, 85, 3, 126, 5, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 6, false, false, 66, 2, 70, 4, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 7, false, false, 70, 3, 78, 5, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 5, false, false, 83, 2, 122, 4, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 85, 3, 126, 5, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 6, false, false, 66, 2, 70, 4, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 7, false, false, 70, 3, 78, 5, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 12, 2, 21, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 12, 1, 8, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 11, 2, 24, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 12, 2, 21, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 88, 2, 131, 2, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 90, 3, 133, 3, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 48, 2, 100, 2, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 12, 2, 21, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 4, false, false, 48, 2, 100, 2, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 2, false, false, 12, 2, 21, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 2, false, false, 12, 2, 21, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 3, false, false, 11, 3, 22, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 4, false, false, 48, 2, 100, 2, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 5, false, false, 53, 3, 102, 3, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 3, false, false, 46, 2, 26, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 4, false, false, 50, 3, 112, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 3, false, false, 46, 2, 26, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 4, false, false, 50, 3, 112, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 66, 2, 108, 2, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 70, 3, 112, 3, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 19, 2, 136, 1, 8, 3}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 19, 2, 136, 1, 8, 3}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 19, 2, 136, 1, 8, 3}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 76, 2, 137, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 76, 2, 137, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 19, 2, 138, 1, 11, 2}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 19, 2, 138, 1, 11, 2}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 76, 2, 137, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 76, 2, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 1, false, false, 19, 2, 136, 1, 8, 3}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 19, 2, 136, 1, 8, 3}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 1, 1, 10, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 1, 1, 10, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 1, 1, 8, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 1, 1, 10, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 1, 1, 137, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false, 1, 1, 8, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 1, 1, 8, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 19, 2, 8, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 19, 2, 8, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 19, 2, 8, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 19, 2, 8, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 19, 2, 26, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 1, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false, 1, 1, 1, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false, 1, 1, 1, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false, 1, 1, 1, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 1, 1, 1, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false, 1, 1, 1, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false, 1, 1, 1, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false, 1, 1, 1, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 11, 2, 139, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 1, 1, 10, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 19, 2, 140, 1, 13, 1}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 1, 1, 1, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 1, 1, 8, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 3, false, false, 11, 3, 22, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 3, false, false, 11, 3, 22, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 2, false, false, 33, 1, 11, 2, 0, 0}, // #361
{DBGFIELD("STPQpost") 3, false, false, 11, 3, 22, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 3, false, false, 11, 3, 22, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 3, false, false, 11, 3, 22, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 3, false, false, 11, 3, 22, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 3, false, false, 11, 3, 22, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 3, false, false, 11, 3, 22, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 3, false, false, 11, 3, 22, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 3, false, false, 11, 3, 22, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 2, false, false, 11, 2, 24, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 2, false, false, 11, 2, 24, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #401
{DBGFIELD("ISB") 1, false, false, 2, 1, 2, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 12, 1, 8, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 1, 1, 141, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 1, 1, 8, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 1, 1, 142, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 1, 1, 8, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 1, 1, 10, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 1, 1, 137, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 1, 1, 137, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 1, 1, 137, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 1, 1, 8, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 1, false, false, 1, 1, 137, 1, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 1, false, false, 1, 1, 137, 1, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 1, 1, 137, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 1, 1, 143, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 1, 1, 144, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 19, 2, 145, 1, 14, 3}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 19, 2, 138, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 19, 2, 138, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 19, 2, 138, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 19, 2, 146, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 19, 2, 146, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 19, 2, 146, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 19, 2, 147, 1, 17, 1}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 19, 2, 147, 1, 17, 1}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 19, 2, 140, 1, 13, 1}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 1, 1, 8, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 19, 2, 8, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 19, 2, 26, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 19, 2, 26, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 19, 2, 117, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 19, 2, 117, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false, 12, 2, 117, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 76, 2, 137, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false, 1, 1, 8, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 19, 2, 146, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 1, 1, 1, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 1, 1, 141, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 1, 1, 143, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 1, 1, 1, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 1, 1, 1, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 19, 2, 145, 1, 14, 3}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 1, 1, 142, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 19, 2, 136, 1, 8, 3}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 1, 1, 1, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 1, 1, 144, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 19, 2, 138, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 1, 1, 8, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 1, 1, 142, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 19, 2, 138, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 19, 2, 138, 1, 11, 2}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 2, 1, 2, 1, 0, 1}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #568
{DBGFIELD("EONWrs_EONXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #571
{DBGFIELD("EORWrs_EORXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #574
{DBGFIELD("ORRWri_ORRXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 1, false, false, 3, 2, 4, 1, 1, 2}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 1, false, false, 3, 2, 4, 1, 1, 2}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 1, 1, 1, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 1, 1, 8, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 1, 1, 1, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 1, false, false, 1, 1, 1, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 19, 2, 26, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 1, false, false, 1, 1, 1, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 1, false, false, 1, 1, 1, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 1, false, false, 1, 1, 1, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 1, false, false, 1, 1, 1, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 1, false, false, 1, 1, 1, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 7, 2, 0, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 9, 3, 0, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 9, 3, 0, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 7, 2, 0, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 9, 3, 0, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 7, 2, 0, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 76, 2, 1, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 13, 3, 8, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 13, 3, 8, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 1, 1, 8, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 1, 1, 8, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 19, 2, 8, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 12, 1, 10, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 1, 1, 1, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 1, 1, 1, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 5, 2, 6, 1, 0, 1}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 21, 4, 16, 1, 4, 3}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 21, 4, 16, 1, 4, 3}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 21, 4, 17, 1, 4, 3}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 25, 4, 19, 1, 1, 2}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 29, 4, 20, 1, 1, 2}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 2, 1, 2, 1, 0, 1}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 2, 1, 2, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 2, 1, 18, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 2, 1, 18, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 3, 1, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 11, 2, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 12, 1, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 7, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 0, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 7, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 7, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 12, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 7, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 9, 3, 0, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 7, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 9, 3, 0, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 2, false, false, 33, 1, 26, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 33, 1, 26, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 2, false, false, 33, 1, 26, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 33, 1, 26, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 2, false, false, 12, 2, 21, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false, 12, 2, 117, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 19, 2, 138, 1, 11, 2}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 1, 1, 8, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 1, 1, 137, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #713
{DBGFIELD("ANDWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #715
{DBGFIELD("BICSWrs_BICWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #716
{DBGFIELD("EONWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #717
{DBGFIELD("EONWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #718
{DBGFIELD("EORWri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #722
{DBGFIELD("ORNWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #723
{DBGFIELD("ORRWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #724
{DBGFIELD("ORRWri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 1, 1, 137, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 2, false, false, 12, 2, 31, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 19, 2, 147, 1, 17, 1}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 19, 2, 140, 1, 13, 1}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 12, 1, 8, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 1, false, false, 12, 1, 10, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 1, 1, 8, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 19, 2, 8, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 2, false, false, 12, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 7, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 7, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 7, 2, 0, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #763
{DBGFIELD("ANDWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #764
{DBGFIELD("ANDXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #765
{DBGFIELD("BICWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #766
{DBGFIELD("BICXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 1, false, false, 12, 1, 8, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 1, 1, 8, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 1, 1, 1, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 1, 1, 8, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 19, 2, 8, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 1, 1, 8, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 12, 1, 8, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 1, 1, 137, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 19, 2, 138, 1, 11, 2}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 1, 1, 1, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 1, 1, 8, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 1, 1, 1, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 19, 2, 147, 1, 17, 1}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 1, 1, 1, 1, 0, 0}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 1, 1, 1, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 12, 2, 31, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 1, 1, 1, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 13, 3, 8, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 13, 3, 8, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 19, 2, 8, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 16, 3, 9, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 1, 1, 8, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 1, 1, 1, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 1, 1, 1, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 12, 1, 10, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 12, 1, 10, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 19, 2, 138, 1, 11, 2}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 19, 2, 138, 1, 11, 2}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 19, 2, 138, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 19, 2, 138, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 1, 1, 1, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 76, 2, 137, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 76, 2, 137, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 76, 2, 21, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 7, 2, 0, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #852
{DBGFIELD("ADDWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #853
{DBGFIELD("SUBWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #854
{DBGFIELD("ADDSWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #855
{DBGFIELD("SUBSWrs") 1, false, false, 3, 2, 3, 1, 1, 2}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 1, false, false, 3, 2, 4, 1, 1, 2}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 1, false, false, 3, 2, 4, 1, 1, 2}, // #858
{DBGFIELD("ADDWri") 1, false, false, 2, 1, 2, 1, 0, 1}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 2, 1, 2, 1, 0, 1}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #861
{DBGFIELD("CSELWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #866
{DBGFIELD("BFMWri") 1, false, false, 5, 2, 6, 1, 0, 1}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 5, 2, 6, 1, 0, 1}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #869
{DBGFIELD("RBITWr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 2, 1, 2, 1, 0, 1}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 7, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 7, 2, 0, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 7, 2, 0, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 7, 2, 0, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 9, 3, 0, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #886
{DBGFIELD("ADCXr") 1, false, false, 2, 1, 2, 1, 1, 2}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 5, 2, 6, 1, 0, 1}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 3, 2, 3, 1, 1, 2}, // #891
{DBGFIELD("LDNPWi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 2, false, false, 12, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 3, false, false, 11, 2, 13, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 2, false, false, 11, 2, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 3, false, false, 11, 2, 13, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 2, false, false, 11, 2, 14, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 12, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 12, 1, 8, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 12, 1, 8, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 1, 1, 10, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 1, 1, 1, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 1, 1, 8, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 1, 1, 137, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 1, 1, 137, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 1, 1, 1, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 1, 1, 1, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 1, 1, 1, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 1, 1, 1, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 12, 1, 8, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 2, false, false, 93, 3, 10, 1, 3, 1}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 1, false, false, 12, 1, 8, 1, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 2, false, false, 93, 3, 10, 1, 3, 1}, // #1037
{DBGFIELD("WriteST_ReadDefault") 1, false, false, 12, 1, 8, 1, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 1, false, false, 0, 0, 0, 1, 0, 0}, // #1043
{DBGFIELD("WriteI") 1, false, false, 2, 1, 2, 1, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 1, false, false, 2, 1, 18, 1, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 1, false, false, 1, 1, 1, 1, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // CycloneModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexA53ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 2, 1, 21, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 7, 1, 148, 1, 18, 18}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 7, 1, 148, 1, 18, 9}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #5
{DBGFIELD("WriteAdr") 1, false, false, 0, 0, 0, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 7, 1, 148, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 7, 1, 149, 1, 18, 9}, // #8
{DBGFIELD("WriteSys") 1, false, false, 10, 1, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 10, 1, 5, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 10, 1, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 10, 1, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false, 7, 1, 137, 1, 0, 1}, // #14
{DBGFIELD("WriteF") 1, false, false, 2, 1, 21, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 2, 1, 21, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 2, 1, 21, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 96, 1, 150, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 97, 1, 21, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 2, 1, 21, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 2, 1, 21, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 10, 1, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 24, 1, 8, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 24, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 98, 1, 151, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 2, false, false, 24, 1, 152, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false, 24, 1, 8, 1, 0, 1}, // #28
{DBGFIELD("WriteLDAdr") 2, false, false, 24, 1, 8, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 6, 1, 16, 1, 36, 27}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 6, 1, 154, 1, 36, 27}, // #31
{DBGFIELD("WriteImm") 1, false, false, 7, 1, 155, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 2, false, false, 0, 0, 0, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 99, 1, 156, 1, 36, 18}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 99, 1, 157, 1, 36, 18}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 6, 1, 154, 1, 36, 18}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 24, 1, 8, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 2, false, false, 24, 1, 158, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 2, false, false, 24, 1, 158, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 2, false, false, 98, 1, 26, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false, 24, 1, 8, 1, 0, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 100, 3, 160, 4, 0, 0}, // #42
{DBGFIELD("COPY") 1, false, false, 7, 1, 148, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 24, 1, 8, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 24, 1, 8, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 1, false, false, 103, 1, 21, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 1, false, false, 104, 1, 31, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 1, false, false, 24, 1, 8, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 1, false, false, 104, 1, 31, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 1, false, false, 104, 1, 31, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 1, false, false, 103, 1, 21, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 1, false, false, 105, 1, 26, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 1, false, false, 104, 1, 31, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 2, false, false, 105, 1, 170, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 24, 1, 8, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 24, 1, 8, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 1, false, false, 103, 1, 21, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 1, false, false, 98, 1, 10, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 1, false, false, 103, 1, 21, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 1, false, false, 98, 1, 10, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 1, false, false, 97, 1, 117, 1, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 106, 1, 172, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 96, 1, 150, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 106, 1, 172, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 1, false, false, 96, 1, 150, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 108, 1, 173, 1, 0, 0}, // #116
{DBGFIELD("BL") 1, false, false, 10, 1, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 6, 1, 154, 1, 36, 18}, // #120
{DBGFIELD("EXTRWrri") 1, false, false, 7, 1, 137, 1, 0, 1}, // #121
{DBGFIELD("EXTRXrri") 1, false, false, 7, 1, 137, 1, 0, 1}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 2, 1, 21, 1, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 24, 1, 8, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 1, false, false, 103, 1, 21, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 1, false, false, 104, 1, 31, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 1, false, false, 24, 1, 8, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 1, false, false, 24, 1, 8, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 1, false, false, 104, 1, 31, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 1, false, false, 98, 1, 10, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 1, false, false, 98, 1, 10, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 1, false, false, 104, 1, 31, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 2, false, false, 104, 1, 168, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 1, false, false, 98, 1, 10, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 1, false, false, 98, 1, 10, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 1, false, false, 105, 1, 26, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 2, false, false, 105, 1, 170, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 24, 1, 8, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 98, 1, 10, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 24, 1, 8, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 24, 1, 152, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 98, 1, 10, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 1, false, false, 98, 1, 10, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 1, false, false, 103, 1, 21, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 1, false, false, 98, 1, 10, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 1, false, false, 98, 1, 10, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 2, false, false, 98, 1, 164, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 1, false, false, 103, 1, 21, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 2, false, false, 103, 1, 166, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 106, 1, 172, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 1, false, false, 108, 1, 173, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 108, 1, 173, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 108, 1, 173, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 108, 1, 173, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 96, 1, 150, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 96, 1, 150, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #318
{DBGFIELD("LDRBroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #319
{DBGFIELD("LDRBui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #324
{DBGFIELD("LDRDroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #325
{DBGFIELD("LDRDui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #327
{DBGFIELD("LDRHHroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #328
{DBGFIELD("LDRHpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #331
{DBGFIELD("LDRHroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #332
{DBGFIELD("LDRHui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #337
{DBGFIELD("LDRQroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #338
{DBGFIELD("LDRQui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #340
{DBGFIELD("LDRSHWroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #341
{DBGFIELD("LDRSHXroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #342
{DBGFIELD("LDRSHXroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #343
{DBGFIELD("LDRSl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #347
{DBGFIELD("LDRSroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #348
{DBGFIELD("LDRSui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #375
{DBGFIELD("STRBroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #376
{DBGFIELD("STRDpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #381
{DBGFIELD("STRHHroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #382
{DBGFIELD("STRHpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #385
{DBGFIELD("STRHroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #386
{DBGFIELD("STRQpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #389
{DBGFIELD("STRQroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #390
{DBGFIELD("STRQui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 2, false, false, 24, 1, 158, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 2, false, false, 24, 1, 158, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 7, 1, 155, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #401
{DBGFIELD("ISB") 1, false, false, 10, 1, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 2, 1, 21, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 2, 1, 21, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 7, 1, 148, 1, 18, 9}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #614
{DBGFIELD("STPSi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 10, 1, 5, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 10, 1, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 10, 1, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 10, 1, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 10, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 2, 1, 21, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 2, 1, 21, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 6, 1, 16, 1, 36, 27}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 6, 1, 16, 1, 36, 27}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 6, 1, 154, 1, 36, 27}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 99, 1, 156, 1, 36, 18}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 99, 1, 157, 1, 36, 18}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 7, 1, 148, 1, 18, 9}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 7, 1, 148, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 7, 1, 155, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 7, 1, 155, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 0, 0, 0, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 24, 1, 8, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 10, 1, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 10, 1, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 10, 1, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 10, 1, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 10, 1, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 98, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 10, 1, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 2, false, false, 98, 1, 26, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 98, 1, 26, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 2, false, false, 98, 1, 26, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 98, 1, 26, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #719
{DBGFIELD("EORWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 97, 1, 117, 1, 0, 0}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 107, 1, 9, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 2, false, false, 98, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #750
{DBGFIELD("MRS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 10, 1, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #771
{DBGFIELD("PRFMroW") 1, false, false, 24, 1, 8, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 2, 1, 21, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 97, 1, 117, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 96, 1, 150, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 96, 1, 150, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 2, 1, 21, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 10, 1, 5, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 1, false, false, 7, 1, 148, 1, 18, 9}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 7, 1, 148, 1, 18, 9}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #861
{DBGFIELD("CSELWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #866
{DBGFIELD("BFMWri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #869
{DBGFIELD("RBITWr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 7, 1, 148, 1, 18, 9}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 10, 1, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 10, 1, 5, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #886
{DBGFIELD("ADCXr") 1, false, false, 7, 1, 148, 1, 18, 18}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #891
{DBGFIELD("LDNPWi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 2, false, false, 98, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 3, false, false, 98, 1, 151, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 2, false, false, 24, 1, 152, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 3, false, false, 98, 1, 151, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 2, false, false, 24, 1, 152, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #920
{DBGFIELD("LDRXroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #921
{DBGFIELD("LDRWroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #922
{DBGFIELD("LDRXroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 24, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 24, 1, 8, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 24, 1, 8, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #946
{DBGFIELD("STRBBroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #947
{DBGFIELD("STRDroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #948
{DBGFIELD("STRDroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #949
{DBGFIELD("STRWroW") 1, false, false, 24, 1, 8, 1, 0, 1}, // #950
{DBGFIELD("STRWroX") 1, false, false, 24, 1, 8, 1, 0, 1}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 24, 1, 8, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 1, false, false, 7, 1, 174, 1, 63, 18}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 1, false, false, 7, 1, 174, 1, 18, 18}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 1, false, false, 7, 1, 175, 1, 63, 18}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 1, false, false, 7, 1, 175, 1, 18, 18}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // CortexA53ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc CortexA57ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 24, 1, 137, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 10, 1, 5, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 10, 1, 5, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 2, 1, 1, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 2, 1, 1, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 1, false, false, 10, 1, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 10, 1, 5, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 10, 1, 5, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 7, 1, 5, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 7, 1, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false, 10, 1, 5, 1, 0, 1}, // #14
{DBGFIELD("WriteF") 1, false, false, 24, 1, 137, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 24, 1, 137, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 24, 1, 10, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 109, 2, 9, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 24, 1, 10, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 99, 1, 10, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 24, 1, 137, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 97, 1, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 99, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 2, false, false, 99, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 111, 2, 13, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 2, false, false, 111, 2, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 2, false, false, 111, 2, 8, 1, 0, 1}, // #28
{DBGFIELD("WriteLDAdr") 2, false, false, 111, 2, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 2, 1, 176, 1, 81, 4}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 2, 1, 17, 1, 81, 4}, // #31
{DBGFIELD("WriteImm") 1, false, false, 10, 1, 5, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 2, false, false, 113, 1, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 114, 1, 177, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 115, 1, 178, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 2, 1, 17, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 97, 1, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 2, false, false, 116, 2, 179, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 2, false, false, 116, 2, 179, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 2, false, false, 118, 2, 10, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 2, false, false, 116, 2, 5, 1, 0, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 120, 3, 181, 4, 0, 0}, // #42
{DBGFIELD("COPY") 1, false, false, 10, 1, 5, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 99, 1, 10, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 2, false, false, 123, 2, 26, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 99, 1, 10, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 125, 1, 21, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 126, 1, 31, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 127, 1, 26, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 133, 2, 37, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 135, 2, 111, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 2, false, false, 123, 2, 26, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 137, 2, 26, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 123, 2, 26, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 21, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 125, 1, 21, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 5, false, false, 142, 2, 74, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 7, false, false, 144, 2, 117, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 4, false, false, 127, 1, 26, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 6, false, false, 146, 3, 83, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 8, false, false, 149, 3, 185, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 5, false, false, 135, 2, 111, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 5, false, false, 142, 2, 74, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 6, false, false, 152, 2, 74, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 8, false, false, 154, 2, 187, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 127, 1, 26, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 6, false, false, 146, 3, 83, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 7, false, false, 156, 3, 83, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 9, false, false, 159, 3, 188, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 5, false, false, 135, 2, 111, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 162, 2, 137, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 27, 1, 1, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 4, false, false, 164, 1, 8, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 165, 1, 21, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 166, 1, 26, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 3, false, false, 167, 3, 190, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 170, 2, 192, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 172, 2, 14, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 7, false, false, 174, 2, 21, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 9, false, false, 176, 2, 111, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 27, 1, 1, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 3, false, false, 178, 2, 137, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 164, 1, 8, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 170, 2, 192, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 180, 3, 190, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 172, 2, 14, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 3, false, false, 178, 2, 137, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 10, false, false, 183, 2, 21, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 6, false, false, 165, 1, 21, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 4, false, false, 180, 3, 190, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 11, false, false, 185, 3, 21, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 7, false, false, 174, 2, 21, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 3, false, false, 178, 2, 137, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 188, 2, 26, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 8, false, false, 166, 1, 26, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 4, false, false, 180, 3, 190, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 190, 3, 111, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 9, false, false, 176, 2, 111, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 24, 1, 137, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 109, 2, 9, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 193, 2, 173, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 2, false, false, 195, 2, 194, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 2, false, false, 197, 2, 195, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false, 98, 1, 74, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false, 98, 1, 74, 1, 0, 0}, // #116
{DBGFIELD("BL") 2, false, false, 9, 2, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 2, false, false, 9, 2, 1, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #120
{DBGFIELD("EXTRWrri") 1, false, false, 10, 1, 5, 1, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 2, false, false, 10, 2, 137, 1, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 2, 1, 1, 1, 0, 0}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 199, 2, 196, 1, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 199, 2, 196, 1, 85, 1}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 199, 2, 196, 1, 85, 1}, // #127
{DBGFIELD("SHA1SU0rrr") 2, false, false, 98, 1, 21, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 201, 2, 21, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 201, 2, 21, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 123, 2, 26, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false, 123, 2, 26, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 99, 1, 10, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 99, 1, 10, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 99, 1, 10, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 2, false, false, 125, 1, 21, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 2, false, false, 125, 1, 21, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 137, 2, 26, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 2, false, false, 125, 1, 21, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 123, 2, 26, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 128, 3, 111, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 1, false, false, 99, 1, 10, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 2, false, false, 111, 2, 39, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 4, false, false, 203, 2, 74, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 205, 3, 83, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 208, 2, 74, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 210, 3, 83, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 3, false, false, 137, 2, 26, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 137, 2, 26, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 2, false, false, 125, 1, 21, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 4, false, false, 208, 2, 74, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 210, 3, 83, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 4, false, false, 203, 2, 74, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 205, 3, 83, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 142, 2, 74, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 146, 3, 83, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 3, false, false, 137, 2, 26, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 3, false, false, 137, 2, 26, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 4, false, false, 139, 3, 111, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 2, false, false, 125, 1, 21, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 3, false, false, 131, 2, 21, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 5, false, false, 142, 2, 74, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 146, 3, 83, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 203, 2, 74, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 205, 3, 83, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 97, 1, 5, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 116, 2, 179, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 97, 1, 5, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 116, 2, 179, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 27, 1, 1, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 170, 2, 192, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 213, 1, 137, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 214, 2, 190, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 164, 1, 8, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 172, 2, 14, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 162, 2, 137, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 167, 3, 190, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 6, false, false, 216, 2, 8, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 7, false, false, 218, 3, 14, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 2, false, false, 162, 2, 137, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 167, 3, 190, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 3, false, false, 213, 1, 137, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 4, false, false, 214, 2, 190, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 5, false, false, 221, 2, 137, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 6, false, false, 223, 3, 190, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 2, false, false, 162, 2, 137, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 167, 3, 190, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 4, false, false, 164, 1, 8, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 5, false, false, 172, 2, 14, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 216, 2, 8, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 218, 3, 14, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 2, false, false, 228, 2, 10, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 1, false, false, 226, 2, 8, 1, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 226, 2, 8, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 226, 2, 8, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 2, false, false, 228, 2, 26, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 226, 2, 8, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 2, false, false, 228, 2, 26, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 199, 2, 10, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 201, 2, 21, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 199, 2, 10, 1, 0, 0}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false, 201, 2, 21, 1, 0, 0}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 1, false, false, 199, 2, 197, 1, 86, 1}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 199, 2, 197, 1, 86, 1}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 1, false, false, 199, 2, 10, 1, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 199, 2, 10, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 199, 2, 10, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 199, 2, 196, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 1, false, false, 226, 2, 198, 1, 87, 1}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 226, 2, 198, 1, 87, 1}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 1, false, false, 226, 2, 198, 1, 87, 1}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 1, false, false, 226, 2, 8, 1, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 1, false, false, 226, 2, 8, 1, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false, 228, 2, 8, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 228, 2, 10, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 24, 1, 10, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 3, false, false, 103, 1, 74, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 24, 1, 10, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 98, 1, 10, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 103, 1, 26, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 24, 1, 10, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false, 98, 1, 10, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 109, 2, 9, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 109, 2, 9, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 2, false, false, 195, 2, 194, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 2, false, false, 197, 2, 195, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 24, 1, 10, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 3, false, false, 103, 1, 74, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 3, false, false, 103, 1, 117, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 24, 1, 10, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false, 98, 1, 10, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 98, 1, 200, 1, 88, 2}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 98, 1, 137, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 2, false, false, 123, 2, 26, 1, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 2, false, false, 123, 2, 26, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 123, 2, 26, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 1, false, false, 226, 2, 8, 1, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 24, 1, 10, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 2, false, false, 98, 1, 10, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 24, 1, 74, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 24, 1, 74, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 24, 1, 74, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false, 98, 1, 74, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 24, 1, 137, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 98, 1, 21, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 103, 1, 74, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 104, 1, 201, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 3, false, false, 103, 1, 21, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 5, false, false, 105, 1, 74, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 7, false, false, 232, 1, 201, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 9, false, false, 233, 1, 202, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 111, 2, 21, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 123, 2, 26, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 3, false, false, 103, 1, 21, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 24, 1, 203, 1, 90, 3}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 123, 2, 117, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 2, false, false, 123, 2, 117, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 2, false, false, 123, 2, 117, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 2, false, false, 123, 2, 117, 1, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 2, false, false, 123, 2, 117, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 193, 2, 173, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 109, 2, 9, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 2, false, false, 99, 1, 10, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 3, false, false, 125, 1, 131, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 2, false, false, 99, 1, 10, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 2, false, false, 99, 1, 10, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 3, false, false, 111, 2, 204, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 3, false, false, 111, 2, 204, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 3, false, false, 125, 1, 131, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 4, false, false, 131, 2, 131, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 4, false, false, 131, 2, 131, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 4, false, false, 131, 2, 10, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 5, false, false, 234, 2, 204, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 5, false, false, 234, 2, 204, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 2, false, false, 99, 1, 10, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 3, false, false, 111, 2, 204, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 3, false, false, 111, 2, 204, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 2, false, false, 111, 2, 39, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 2, false, false, 111, 2, 39, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 1, false, false, 99, 1, 10, 1, 0, 1}, // #318
{DBGFIELD("LDRBroX") 1, false, false, 99, 1, 10, 1, 0, 1}, // #319
{DBGFIELD("LDRBui") 1, false, false, 99, 1, 10, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 99, 1, 10, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 2, false, false, 111, 2, 39, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 2, false, false, 111, 2, 39, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 1, false, false, 99, 1, 10, 1, 0, 1}, // #324
{DBGFIELD("LDRDroX") 1, false, false, 99, 1, 10, 1, 0, 1}, // #325
{DBGFIELD("LDRDui") 1, false, false, 99, 1, 10, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 2, false, false, 111, 2, 10, 1, 0, 1}, // #327
{DBGFIELD("LDRHHroX") 2, false, false, 111, 2, 10, 1, 0, 1}, // #328
{DBGFIELD("LDRHpost") 2, false, false, 111, 2, 39, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 2, false, false, 111, 2, 39, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 2, false, false, 111, 2, 21, 1, 0, 1}, // #331
{DBGFIELD("LDRHroX") 2, false, false, 111, 2, 21, 1, 0, 1}, // #332
{DBGFIELD("LDRHui") 1, false, false, 99, 1, 10, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 99, 1, 10, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 2, false, false, 111, 2, 39, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 2, false, false, 111, 2, 39, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 2, false, false, 111, 2, 21, 1, 0, 1}, // #337
{DBGFIELD("LDRQroX") 2, false, false, 111, 2, 21, 1, 0, 1}, // #338
{DBGFIELD("LDRQui") 1, false, false, 99, 1, 10, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 2, false, false, 111, 2, 10, 1, 0, 1}, // #340
{DBGFIELD("LDRSHWroX") 2, false, false, 111, 2, 10, 1, 0, 1}, // #341
{DBGFIELD("LDRSHXroW") 2, false, false, 111, 2, 10, 1, 0, 1}, // #342
{DBGFIELD("LDRSHXroX") 2, false, false, 111, 2, 10, 1, 0, 1}, // #343
{DBGFIELD("LDRSl") 1, false, false, 99, 1, 10, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 2, false, false, 111, 2, 39, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 2, false, false, 111, 2, 39, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 1, false, false, 99, 1, 10, 1, 0, 1}, // #347
{DBGFIELD("LDRSroX") 1, false, false, 99, 1, 10, 1, 0, 1}, // #348
{DBGFIELD("LDRSui") 1, false, false, 99, 1, 10, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 99, 1, 10, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 99, 1, 10, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 99, 1, 10, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 99, 1, 10, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 99, 1, 10, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 2, false, false, 27, 1, 1, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 5, false, false, 172, 2, 8, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 2, false, false, 27, 1, 1, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 2, false, false, 27, 1, 1, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 4, false, false, 236, 2, 58, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 4, false, false, 236, 2, 58, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 5, false, false, 172, 2, 8, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 6, false, false, 238, 2, 24, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 7, false, false, 240, 2, 24, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 3, false, false, 242, 2, 179, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 3, false, false, 242, 2, 179, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 2, false, false, 27, 1, 1, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 4, false, false, 236, 2, 58, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 4, false, false, 236, 2, 58, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #371
{DBGFIELD("STRBBpre") 3, false, false, 242, 2, 179, 2, 0, 1}, // #372
{DBGFIELD("STRBpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #373
{DBGFIELD("STRBpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 2, false, false, 116, 2, 137, 1, 0, 1}, // #375
{DBGFIELD("STRBroX") 2, false, false, 116, 2, 137, 1, 0, 1}, // #376
{DBGFIELD("STRDpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #377
{DBGFIELD("STRDpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #379
{DBGFIELD("STRHHpre") 3, false, false, 242, 2, 179, 2, 0, 1}, // #380
{DBGFIELD("STRHHroW") 2, false, false, 116, 2, 137, 1, 0, 1}, // #381
{DBGFIELD("STRHHroX") 2, false, false, 116, 2, 137, 1, 0, 1}, // #382
{DBGFIELD("STRHpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #383
{DBGFIELD("STRHpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 2, false, false, 116, 2, 137, 1, 0, 1}, // #385
{DBGFIELD("STRHroX") 2, false, false, 116, 2, 137, 1, 0, 1}, // #386
{DBGFIELD("STRQpost") 4, false, false, 236, 2, 58, 2, 0, 1}, // #387
{DBGFIELD("STRQpre") 4, false, false, 236, 2, 58, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 3, false, false, 170, 2, 1, 1, 0, 1}, // #389
{DBGFIELD("STRQroX") 3, false, false, 170, 2, 1, 1, 0, 1}, // #390
{DBGFIELD("STRQui") 3, false, false, 170, 2, 1, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #392
{DBGFIELD("STRSpre") 3, false, false, 242, 2, 179, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #394
{DBGFIELD("STRWpre") 3, false, false, 242, 2, 179, 2, 0, 1}, // #395
{DBGFIELD("STRXpost") 3, false, false, 242, 2, 179, 2, 0, 1}, // #396
{DBGFIELD("STRXpre") 3, false, false, 242, 2, 179, 2, 0, 1}, // #397
{DBGFIELD("STURQi") 2, false, false, 27, 1, 1, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 10, 1, 5, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 24, 1, 137, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 2, false, false, 228, 2, 26, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 226, 2, 8, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 24, 1, 10, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 3, false, false, 103, 1, 74, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 24, 1, 137, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 24, 1, 10, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 3, false, false, 103, 1, 74, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 3, false, false, 103, 1, 74, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 24, 1, 10, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 98, 1, 10, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 228, 2, 10, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false, 228, 2, 10, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 201, 2, 21, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 199, 2, 10, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 199, 2, 10, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false, 98, 1, 10, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 24, 1, 10, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 24, 1, 203, 1, 90, 3}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 98, 1, 200, 1, 88, 2}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 98, 1, 200, 1, 88, 2}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 24, 1, 74, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 24, 1, 74, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false, 123, 2, 117, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 199, 2, 196, 1, 85, 1}, // #466
{DBGFIELD("SHA256SU1rrr") 2, false, false, 201, 2, 21, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 24, 1, 137, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 3, false, false, 103, 1, 117, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 3, false, false, 103, 1, 26, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 24, 1, 10, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 24, 1, 137, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 3, false, false, 103, 1, 26, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 3, false, false, 103, 1, 26, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false, 98, 1, 10, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 103, 1, 26, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 24, 1, 137, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 24, 1, 137, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 24, 1, 137, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 24, 1, 137, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 226, 2, 198, 1, 87, 1}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 226, 2, 198, 1, 87, 1}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 226, 2, 8, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 226, 2, 8, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 226, 2, 8, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 199, 2, 10, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 24, 1, 137, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 24, 1, 137, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false, 228, 2, 10, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 199, 2, 10, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 24, 1, 137, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 199, 2, 197, 1, 86, 1}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 199, 2, 197, 1, 86, 1}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 10, 1, 5, 1, 0, 1}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 1, false, false, 2, 1, 1, 1, 1, 2}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 1, false, false, 2, 1, 1, 1, 1, 2}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 123, 2, 26, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 24, 1, 137, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 123, 2, 26, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 24, 1, 137, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 123, 2, 26, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 24, 1, 137, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 24, 1, 10, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 24, 1, 74, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 3, false, false, 103, 1, 21, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 2, false, false, 98, 1, 21, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 2, false, false, 98, 1, 74, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 5, false, false, 105, 1, 74, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 3, false, false, 103, 1, 74, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 7, false, false, 232, 1, 201, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 4, false, false, 104, 1, 201, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 9, false, false, 233, 1, 202, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 2, false, false, 116, 2, 5, 1, 0, 1}, // #614
{DBGFIELD("STPSi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 7, 1, 5, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 7, 1, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 7, 1, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 7, 1, 5, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 7, 1, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 7, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 2, false, false, 123, 2, 117, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 24, 1, 137, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 24, 1, 137, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 123, 2, 117, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false, 123, 2, 117, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 99, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 2, false, false, 99, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 2, false, false, 99, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 111, 2, 13, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 99, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 2, false, false, 111, 2, 8, 1, 0, 1}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 99, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 1, false, false, 99, 1, 8, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 99, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 2, false, false, 111, 2, 8, 1, 0, 1}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 99, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 2, 1, 176, 1, 81, 4}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 2, 1, 176, 1, 81, 4}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 2, 1, 17, 1, 81, 4}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 114, 1, 177, 1, 1, 2}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 115, 1, 178, 1, 1, 2}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 10, 1, 5, 1, 0, 1}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 10, 1, 5, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 10, 1, 5, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 10, 1, 5, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 113, 1, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 111, 2, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 99, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 99, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 7, 1, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 7, 1, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 97, 1, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 2, false, false, 118, 2, 10, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 118, 2, 10, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 2, false, false, 118, 2, 10, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 118, 2, 10, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 2, false, false, 116, 2, 5, 1, 0, 1}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false, 123, 2, 117, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 199, 2, 197, 1, 86, 1}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 1, false, false, 226, 2, 8, 1, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 226, 2, 8, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 2, false, false, 230, 2, 31, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 24, 1, 137, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 24, 1, 10, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 24, 1, 10, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 2, false, false, 123, 2, 117, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 24, 1, 199, 1, 88, 2}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 98, 1, 200, 1, 88, 2}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 98, 1, 200, 1, 88, 2}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 24, 1, 10, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 24, 1, 10, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 24, 1, 10, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 99, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 2, false, false, 99, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 24, 1, 137, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 3, false, false, 103, 1, 21, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 3, false, false, 103, 1, 21, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 7, 1, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 2, false, false, 111, 2, 8, 1, 0, 1}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 2, false, false, 111, 2, 8, 1, 0, 1}, // #771
{DBGFIELD("PRFMroW") 1, false, false, 99, 1, 8, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 2, false, false, 116, 2, 5, 1, 0, 1}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 24, 1, 137, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 2, false, false, 116, 2, 5, 1, 0, 1}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 199, 2, 197, 1, 86, 1}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 226, 2, 8, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 226, 2, 8, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 24, 1, 137, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 24, 1, 137, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 24, 1, 137, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 2, false, false, 123, 2, 117, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 123, 2, 117, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 24, 1, 137, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 24, 1, 199, 1, 88, 2}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 24, 1, 199, 1, 88, 2}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 24, 1, 137, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 123, 2, 26, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 123, 2, 26, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 24, 1, 137, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 109, 2, 9, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 24, 1, 10, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 109, 2, 9, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 24, 1, 10, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 24, 1, 137, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 99, 1, 10, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 199, 2, 197, 1, 86, 1}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 199, 2, 197, 1, 86, 1}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 199, 2, 10, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 199, 2, 10, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 24, 1, 137, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 199, 2, 196, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 199, 2, 10, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 2, false, false, 201, 2, 21, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 7, 1, 5, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 1, false, false, 2, 1, 1, 1, 1, 2}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 1, false, false, 2, 1, 1, 1, 1, 2}, // #858
{DBGFIELD("ADDWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 10, 1, 5, 1, 0, 1}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #861
{DBGFIELD("CSELWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #866
{DBGFIELD("BFMWri") 1, false, false, 2, 1, 1, 1, 0, 0}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 10, 1, 5, 1, 0, 1}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #869
{DBGFIELD("RBITWr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 7, 1, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 7, 1, 5, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 7, 1, 5, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 7, 1, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #886
{DBGFIELD("ADCXr") 1, false, false, 10, 1, 5, 1, 1, 2}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 10, 1, 5, 1, 0, 1}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 199, 2, 196, 1, 0, 0}, // #891
{DBGFIELD("LDNPWi") 2, false, false, 99, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 2, false, false, 99, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 99, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 3, false, false, 111, 2, 13, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 2, false, false, 111, 2, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 3, false, false, 111, 2, 13, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 3, false, false, 111, 2, 13, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 2, false, false, 111, 2, 14, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 2, false, false, 111, 2, 8, 1, 0, 1}, // #920
{DBGFIELD("LDRXroW") 2, false, false, 111, 2, 8, 1, 0, 1}, // #921
{DBGFIELD("LDRWroX") 2, false, false, 111, 2, 8, 1, 0, 1}, // #922
{DBGFIELD("LDRXroX") 2, false, false, 111, 2, 8, 1, 0, 1}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 99, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 99, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 97, 1, 5, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 97, 1, 5, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 2, false, false, 116, 2, 5, 1, 0, 1}, // #946
{DBGFIELD("STRBBroX") 2, false, false, 116, 2, 5, 1, 0, 1}, // #947
{DBGFIELD("STRDroW") 2, false, false, 116, 2, 5, 1, 0, 1}, // #948
{DBGFIELD("STRDroX") 2, false, false, 116, 2, 5, 1, 0, 1}, // #949
{DBGFIELD("STRWroW") 2, false, false, 116, 2, 5, 1, 0, 1}, // #950
{DBGFIELD("STRWroX") 2, false, false, 116, 2, 5, 1, 0, 1}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false, 98, 1, 10, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false, 98, 1, 10, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 24, 1, 137, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 24, 1, 137, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 24, 1, 137, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 24, 1, 137, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 3, false, false, 103, 1, 21, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 99, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 97, 1, 5, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 1, false, false, 10, 1, 5, 1, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 1, false, false, 2, 1, 1, 1, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // CortexA57ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc ExynosM3ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 244, 1, 137, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 97, 1, 5, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 97, 1, 5, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 97, 1, 5, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 97, 1, 5, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 0, false, false, 0, 0, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 97, 1, 5, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 97, 1, 5, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 0, 0, 0, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 245, 2, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #14
{DBGFIELD("WriteF") 1, false, false, 12, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 247, 2, 1, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 15, 1, 137, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 249, 1, 201, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 250, 1, 8, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 244, 1, 5, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 244, 1, 5, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 251, 1, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 252, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 252, 1, 13, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 1, false, false, 252, 1, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 2, false, false, 253, 3, 10, 1, 0, 1}, // #28
{DBGFIELD("WriteLDAdr") 1, false, false, 252, 1, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 245, 2, 176, 1, 93, 4}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 256, 2, 154, 1, 93, 4}, // #31
{DBGFIELD("WriteImm") 1, false, false, 97, 1, 5, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 0, false, false, 0, 0, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 258, 3, 207, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 261, 3, 208, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 256, 2, 154, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 251, 1, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 1, false, false, 251, 1, 179, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 1, false, false, 251, 1, 179, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 2, false, false, 264, 3, 1, 1, 0, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 267, 3, 181, 4, 0, 0}, // #42
{DBGFIELD("COPY") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 270, 2, 21, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 252, 1, 10, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 252, 1, 10, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 270, 1, 10, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 272, 1, 21, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 273, 1, 21, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 274, 3, 21, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 254, 2, 39, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 254, 2, 39, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 274, 2, 39, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 281, 2, 21, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 270, 1, 10, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 283, 1, 117, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 283, 1, 117, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 284, 3, 21, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 274, 2, 39, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 287, 2, 185, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 287, 2, 185, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 289, 2, 21, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 272, 1, 21, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 291, 1, 201, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 291, 1, 201, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 292, 3, 21, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 295, 2, 209, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 4, false, false, 295, 2, 209, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 297, 2, 31, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 273, 1, 21, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 299, 1, 211, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 299, 1, 211, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 300, 3, 37, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 303, 2, 212, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 5, false, false, 303, 2, 212, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 4, false, false, 305, 2, 31, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 307, 2, 5, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 309, 2, 1, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 311, 2, 137, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 313, 2, 8, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 305, 2, 37, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 1, false, false, 307, 2, 179, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 309, 2, 192, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 3, false, false, 311, 2, 190, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 4, false, false, 313, 2, 14, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 4, false, false, 305, 2, 31, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 4, false, false, 305, 2, 31, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 6, false, false, 315, 2, 26, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 305, 2, 37, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 305, 2, 37, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 315, 2, 111, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 7, false, false, 317, 3, 202, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 9, false, false, 320, 3, 214, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 9, false, false, 320, 3, 214, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 9, false, false, 320, 3, 217, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 9, false, false, 320, 3, 217, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 7, false, false, 317, 3, 202, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 9, false, false, 320, 3, 9, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 9, false, false, 320, 3, 9, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 9, false, false, 320, 3, 219, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 9, false, false, 320, 3, 219, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 250, 1, 8, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 244, 1, 137, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 323, 1, 31, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 324, 1, 201, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 2, false, false, 325, 5, 31, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 2, false, false, 330, 5, 201, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 250, 1, 221, 1, 97, 2}, // #116
{DBGFIELD("BL") 1, false, false, 97, 1, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 256, 2, 154, 1, 1, 2}, // #120
{DBGFIELD("EXTRWrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 335, 1, 222, 1, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 335, 1, 222, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 335, 1, 222, 1, 99, 1}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 335, 1, 222, 1, 99, 1}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 336, 3, 5, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 336, 3, 5, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 336, 3, 5, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 336, 3, 5, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 335, 1, 10, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 245, 2, 1, 1, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 270, 2, 31, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 274, 3, 37, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 252, 1, 10, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 254, 2, 39, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 252, 1, 10, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 254, 2, 39, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 252, 1, 10, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 254, 2, 39, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 270, 1, 10, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 274, 2, 39, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 272, 1, 21, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 273, 1, 21, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 281, 2, 31, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 284, 3, 37, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 3, false, false, 281, 2, 31, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 4, false, false, 284, 3, 37, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 270, 1, 10, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 274, 2, 39, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 2, false, false, 270, 1, 10, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 3, false, false, 274, 2, 39, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 283, 1, 117, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 287, 2, 185, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 339, 2, 31, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 341, 3, 37, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 4, false, false, 339, 2, 31, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 5, false, false, 341, 3, 37, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 272, 1, 21, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 3, false, false, 272, 1, 21, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 272, 1, 21, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 277, 2, 21, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 291, 1, 201, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 295, 2, 209, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 344, 2, 74, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 346, 3, 83, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 5, false, false, 344, 2, 74, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 6, false, false, 346, 3, 83, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 273, 1, 21, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 4, false, false, 273, 1, 21, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 273, 1, 21, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 279, 2, 21, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 299, 1, 211, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 303, 2, 212, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 4, false, false, 305, 2, 31, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 305, 2, 37, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 307, 2, 5, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 1, false, false, 307, 2, 179, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 309, 2, 1, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 309, 2, 192, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 311, 2, 137, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 3, false, false, 311, 2, 190, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 313, 2, 8, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 4, false, false, 313, 2, 14, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 4, false, false, 305, 2, 31, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 305, 2, 37, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 6, false, false, 315, 2, 26, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 315, 2, 111, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 5, false, false, 349, 3, 211, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 5, false, false, 349, 3, 212, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 5, false, false, 349, 3, 211, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 5, false, false, 349, 3, 212, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 7, false, false, 317, 3, 202, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 7, false, false, 317, 3, 202, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 7, false, false, 317, 3, 202, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 7, false, false, 317, 3, 202, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 317, 3, 215, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 336, 3, 137, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 336, 3, 137, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 352, 2, 223, 1, 100, 1}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 352, 2, 223, 1, 100, 1}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 352, 2, 223, 1, 0, 0}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 336, 3, 137, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 336, 3, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 354, 1, 5, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 354, 1, 137, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 354, 1, 137, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 2, false, false, 355, 2, 137, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false, 355, 2, 137, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 15, 1, 137, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 323, 1, 31, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 357, 2, 172, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 2, false, false, 359, 2, 172, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 2, false, false, 361, 2, 224, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 247, 2, 1, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 247, 2, 1, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 2, false, false, 363, 2, 10, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 365, 3, 137, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 365, 3, 137, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 356, 1, 5, 1, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 368, 2, 117, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 15, 1, 8, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 15, 1, 8, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 250, 1, 221, 1, 97, 2}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 356, 1, 5, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false, 356, 1, 5, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false, 356, 1, 5, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false, 356, 1, 5, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 356, 1, 5, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false, 356, 1, 5, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false, 356, 1, 5, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false, 356, 1, 5, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 370, 2, 21, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false, 356, 1, 137, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 356, 1, 5, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 250, 1, 221, 1, 97, 2}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 15, 1, 137, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 365, 3, 137, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 365, 3, 8, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 247, 2, 5, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 365, 3, 137, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 372, 2, 224, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 357, 2, 172, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 1, false, false, 252, 1, 32, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 1, false, false, 270, 1, 32, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 1, false, false, 252, 1, 32, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 1, false, false, 252, 1, 32, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 2, false, false, 253, 3, 43, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 2, false, false, 253, 3, 43, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 1, false, false, 270, 1, 32, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 2, false, false, 374, 3, 43, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 2, false, false, 374, 3, 43, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 2, false, false, 253, 3, 13, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 2, false, false, 253, 3, 13, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 1, false, false, 252, 1, 32, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 2, false, false, 253, 3, 43, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 2, false, false, 253, 3, 43, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 1, false, false, 252, 1, 39, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 1, false, false, 252, 1, 39, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 1, false, false, 252, 1, 10, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 252, 1, 10, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 1, false, false, 252, 1, 39, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 1, false, false, 252, 1, 39, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 1, false, false, 252, 1, 10, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 1, false, false, 252, 1, 39, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 1, false, false, 252, 1, 39, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 1, false, false, 252, 1, 10, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 252, 1, 10, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 1, false, false, 252, 1, 39, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 1, false, false, 252, 1, 39, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 252, 1, 10, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 1, false, false, 252, 1, 10, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 1, false, false, 252, 1, 39, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 1, false, false, 252, 1, 39, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 1, false, false, 252, 1, 10, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 252, 1, 10, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 252, 1, 10, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 252, 1, 10, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 252, 1, 10, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 252, 1, 10, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 2, false, false, 377, 4, 179, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 2, false, false, 377, 4, 179, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 1, false, false, 307, 2, 5, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 1, false, false, 307, 2, 179, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 1, false, false, 307, 2, 179, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 1, false, false, 251, 1, 179, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 1, false, false, 251, 1, 179, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 356, 1, 5, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 244, 1, 137, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 244, 1, 137, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 244, 1, 137, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 247, 2, 1, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 2, false, false, 355, 2, 137, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 2, false, false, 355, 2, 137, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 247, 2, 1, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 247, 2, 1, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 247, 2, 1, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 2, false, false, 355, 2, 137, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 247, 2, 5, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 354, 1, 137, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 354, 1, 137, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 354, 1, 137, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 354, 1, 137, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 352, 2, 223, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 352, 2, 223, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 352, 2, 223, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 250, 1, 137, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 244, 1, 137, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 250, 1, 221, 1, 97, 2}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 15, 1, 8, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 15, 1, 8, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 15, 1, 137, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 365, 3, 8, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 335, 1, 222, 1, 99, 1}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 335, 1, 10, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 244, 1, 5, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false, 363, 2, 10, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 15, 1, 137, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 244, 1, 137, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 244, 1, 5, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 15, 1, 137, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 15, 1, 137, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 250, 1, 137, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 15, 1, 137, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 247, 2, 137, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 354, 1, 5, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 354, 1, 5, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 354, 1, 5, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 247, 2, 1, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 354, 1, 5, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 244, 1, 137, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 244, 1, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 352, 2, 223, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 244, 1, 137, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 244, 1, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 247, 2, 137, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 354, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 354, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 352, 2, 223, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 244, 1, 137, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 247, 2, 137, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 352, 2, 223, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 352, 2, 223, 1, 0, 0}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 97, 1, 5, 1, 0, 1}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 368, 2, 117, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 356, 1, 5, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 368, 2, 117, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 356, 1, 5, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 356, 1, 137, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 356, 1, 5, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 247, 2, 5, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 356, 1, 5, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 244, 1, 5, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 1, false, false, 356, 1, 5, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #607
{DBGFIELD("TBLv16i8Two") 1, false, false, 356, 1, 5, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 1, false, false, 356, 1, 5, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 1, false, false, 356, 1, 5, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 1, false, false, 356, 1, 5, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 1, false, false, 356, 1, 5, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 307, 2, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 0, 0, 0, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 245, 2, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 245, 2, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 381, 2, 1, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 245, 2, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 24, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 336, 3, 5, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 247, 2, 1, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 247, 2, 1, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 365, 3, 137, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 350, 2, 10, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 15, 1, 137, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 365, 3, 137, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 15, 1, 137, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 15, 1, 137, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 250, 1, 137, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 244, 1, 5, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 244, 1, 5, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 12, 1, 1, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 252, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 253, 3, 13, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 252, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 252, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 252, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 252, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 245, 2, 176, 1, 93, 4}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 245, 2, 176, 1, 93, 4}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 256, 2, 154, 1, 93, 4}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 258, 3, 207, 1, 1, 2}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 261, 3, 208, 1, 1, 2}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 97, 1, 5, 1, 0, 1}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 0, 0, 0, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 97, 1, 5, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false, 0, 0, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 1, false, false, 252, 1, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 252, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 252, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 245, 2, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 245, 2, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 251, 1, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 365, 3, 8, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 352, 2, 223, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 247, 2, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 244, 1, 137, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 247, 2, 137, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 244, 1, 5, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 244, 1, 137, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 244, 1, 137, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 247, 2, 5, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 365, 3, 137, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 250, 1, 221, 1, 97, 2}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 383, 2, 10, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 2, false, false, 383, 2, 10, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 15, 1, 8, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 15, 1, 8, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 252, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 1, false, false, 252, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 356, 1, 5, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 356, 1, 5, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 356, 1, 5, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 356, 1, 5, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 245, 2, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 356, 1, 5, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 365, 3, 137, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 365, 3, 137, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 15, 1, 8, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 15, 1, 8, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 247, 2, 5, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 1, false, false, 352, 2, 223, 1, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 354, 1, 5, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 354, 1, 137, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 354, 1, 137, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 356, 1, 5, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 356, 1, 5, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 356, 1, 5, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 12, 1, 1, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 2, false, false, 355, 2, 137, 1, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 2, false, false, 355, 2, 137, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 247, 2, 5, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 15, 1, 1, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 247, 2, 1, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 247, 2, 1, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 250, 1, 137, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 250, 1, 221, 1, 97, 2}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 250, 1, 221, 1, 97, 2}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 250, 1, 225, 1, 97, 2}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 244, 1, 5, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 365, 3, 137, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 356, 1, 5, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 356, 1, 5, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 2, false, false, 355, 2, 137, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 247, 2, 1, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 247, 2, 1, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 249, 1, 201, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 250, 1, 8, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 249, 1, 201, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 15, 1, 137, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 244, 1, 5, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 244, 1, 5, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 352, 2, 223, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 352, 2, 223, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 352, 2, 223, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 352, 2, 223, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 244, 1, 137, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 244, 1, 137, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 244, 1, 137, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 244, 1, 5, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 336, 3, 137, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 336, 3, 137, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 335, 1, 10, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 2, false, false, 381, 2, 1, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 97, 1, 5, 1, 0, 1}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #861
{DBGFIELD("CSELWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #866
{DBGFIELD("BFMWri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 97, 1, 5, 1, 0, 1}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #869
{DBGFIELD("RBITWr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 245, 2, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 2, false, false, 381, 2, 1, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 2, false, false, 381, 2, 1, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 245, 2, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #886
{DBGFIELD("ADCXr") 1, false, false, 97, 1, 5, 1, 1, 2}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 97, 1, 5, 1, 0, 1}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 245, 2, 1, 1, 0, 0}, // #891
{DBGFIELD("LDNPWi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 1, false, false, 252, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 252, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 2, false, false, 253, 3, 13, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 1, false, false, 252, 1, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 2, false, false, 253, 3, 13, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 2, false, false, 253, 3, 13, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 1, false, false, 252, 1, 14, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 252, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 252, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 307, 2, 5, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 251, 1, 5, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 307, 2, 5, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 307, 2, 5, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 307, 2, 5, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 251, 1, 5, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 251, 1, 5, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 12, 1, 1, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 12, 1, 1, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 247, 2, 5, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 244, 1, 137, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 356, 1, 5, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 356, 1, 5, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 252, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 251, 1, 5, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 1, false, false, 97, 1, 5, 1, 0, 1}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 1, false, false, 27, 1, 1, 1, 0, 1}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 1, false, false, 251, 1, 5, 1, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 1, false, false, 244, 1, 5, 1, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 1, false, false, 0, 0, 0, 1, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 3, false, false, 267, 2, 1, 1, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 2, false, false, 381, 2, 5, 1, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 1, false, false, 97, 1, 5, 1, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 1, false, false, 27, 1, 1, 1, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 2, false, false, 253, 3, 21, 1, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 252, 1, 10, 1, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 2, false, false, 253, 3, 10, 1, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 1, false, false, 252, 1, 10, 1, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 1, false, false, 252, 1, 8, 1, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 2, false, false, 377, 4, 137, 1, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 1, false, false, 307, 2, 5, 1, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 2, false, false, 264, 3, 1, 1, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // ExynosM3ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc ExynosM4ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 385, 1, 5, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 24, 1, 5, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 24, 1, 5, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 98, 1, 1, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 98, 1, 1, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 0, false, false, 0, 0, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 24, 1, 5, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 24, 1, 5, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 0, 0, 0, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 226, 2, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #14
{DBGFIELD("WriteF") 1, false, false, 14, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 386, 2, 1, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 388, 1, 1, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 389, 1, 201, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 307, 1, 137, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 385, 1, 5, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 385, 1, 5, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 390, 1, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 353, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 353, 1, 13, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 1, false, false, 353, 1, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("WriteLDAdr") 1, false, false, 353, 1, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 226, 2, 137, 1, 93, 4}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 228, 2, 8, 1, 93, 4}, // #31
{DBGFIELD("WriteImm") 1, false, false, 24, 1, 5, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 0, false, false, 0, 0, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 391, 2, 201, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 393, 2, 226, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 228, 2, 8, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 390, 1, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 1, false, false, 390, 1, 179, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 1, false, false, 390, 1, 179, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 395, 3, 181, 4, 0, 0}, // #42
{DBGFIELD("COPY") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 398, 2, 21, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 353, 1, 10, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 353, 1, 10, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 398, 1, 10, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 400, 1, 21, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 401, 1, 21, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 402, 3, 21, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 405, 2, 39, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 405, 2, 39, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 402, 2, 39, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 411, 2, 21, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 398, 1, 10, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 413, 1, 117, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 413, 1, 117, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 414, 3, 21, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 402, 2, 39, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 417, 2, 185, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 417, 2, 185, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 419, 2, 31, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 400, 1, 21, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 419, 1, 201, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 419, 1, 201, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 421, 3, 37, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 421, 2, 209, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 4, false, false, 421, 2, 209, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 424, 2, 31, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 401, 1, 21, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 426, 1, 211, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 426, 1, 211, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 427, 3, 37, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 430, 2, 212, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 5, false, false, 430, 2, 212, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 432, 2, 5, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 432, 2, 5, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 434, 2, 1, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 436, 2, 137, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 438, 2, 8, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 440, 3, 179, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 440, 3, 179, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 446, 3, 190, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 449, 3, 14, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 432, 2, 1, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 432, 2, 1, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 434, 2, 1, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 440, 3, 192, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 440, 3, 192, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 434, 2, 1, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 6, false, false, 452, 3, 10, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 6, false, false, 452, 3, 10, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 7, false, false, 455, 4, 39, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 7, false, false, 455, 4, 39, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 434, 2, 1, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 5, false, false, 459, 3, 26, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 5, false, false, 459, 3, 26, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 6, false, false, 462, 4, 111, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 6, false, false, 462, 4, 111, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 368, 2, 227, 1, 101, 3}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 385, 1, 5, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 466, 1, 31, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 389, 1, 201, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 467, 1, 31, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 1, false, false, 468, 1, 201, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 307, 1, 228, 1, 0, 0}, // #116
{DBGFIELD("BL") 2, false, false, 24, 1, 1, 1, 0, 0}, // #117
{DBGFIELD("BLR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 228, 2, 8, 1, 1, 2}, // #120
{DBGFIELD("EXTRWrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 469, 1, 229, 1, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 469, 1, 229, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 469, 1, 229, 1, 104, 1}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 469, 1, 229, 1, 104, 1}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 470, 3, 5, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 470, 3, 5, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 470, 3, 5, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 470, 3, 5, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 385, 1, 5, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 473, 2, 1, 1, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 398, 2, 21, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 402, 3, 21, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 353, 1, 10, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 405, 2, 39, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 353, 1, 10, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 405, 2, 39, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 353, 1, 10, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 405, 2, 39, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 398, 1, 10, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 402, 2, 39, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 400, 1, 21, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 401, 1, 21, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 411, 2, 21, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 414, 3, 21, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 3, false, false, 411, 2, 21, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 4, false, false, 414, 3, 21, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 398, 1, 10, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 402, 2, 39, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 2, false, false, 398, 1, 10, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 3, false, false, 402, 2, 39, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 413, 1, 117, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 417, 2, 185, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 475, 2, 31, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 477, 3, 37, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 4, false, false, 475, 2, 31, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 5, false, false, 477, 3, 37, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 400, 1, 21, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 3, false, false, 400, 1, 21, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 400, 1, 21, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 407, 2, 21, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 419, 1, 201, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 421, 2, 209, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 480, 2, 31, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 482, 3, 37, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 5, false, false, 480, 2, 31, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 6, false, false, 482, 3, 37, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 401, 1, 21, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 4, false, false, 401, 1, 21, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 401, 1, 21, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 409, 2, 21, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 426, 1, 211, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 430, 2, 212, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 432, 2, 5, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 440, 3, 179, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 432, 2, 5, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 440, 3, 179, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 434, 2, 1, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 436, 2, 137, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 446, 3, 190, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 438, 2, 8, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 449, 3, 14, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 432, 2, 1, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 440, 3, 192, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 434, 2, 1, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 2, false, false, 434, 2, 1, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 2, false, false, 434, 2, 1, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 4, false, false, 485, 3, 8, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 5, false, false, 488, 4, 14, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 2, false, false, 434, 2, 1, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 2, false, false, 434, 2, 1, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 3, false, false, 443, 3, 192, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 4, false, false, 492, 3, 8, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 5, false, false, 495, 4, 14, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 470, 3, 5, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 470, 3, 5, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 501, 1, 230, 1, 105, 1}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 106, 1}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 470, 3, 137, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 470, 3, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 503, 1, 5, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 502, 3, 8, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 385, 1, 5, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 14, 1, 1, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 388, 1, 1, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 505, 4, 1, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 505, 4, 1, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 466, 1, 31, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 509, 1, 26, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 1, false, false, 510, 1, 26, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 1, false, false, 511, 1, 201, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 386, 2, 1, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 307, 1, 137, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 307, 1, 137, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 505, 4, 137, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 505, 4, 137, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 399, 1, 5, 1, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 512, 3, 10, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 388, 1, 137, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 388, 1, 137, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 307, 1, 228, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 412, 1, 5, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 412, 1, 1, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 425, 1, 137, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 425, 1, 8, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 412, 1, 5, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 2, false, false, 412, 1, 1, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 425, 1, 137, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 4, false, false, 425, 1, 8, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 515, 3, 8, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 512, 3, 10, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1, 5, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 14, 1, 1, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 307, 1, 228, 1, 101, 3}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 518, 6, 8, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 385, 1, 5, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 505, 4, 21, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 385, 1, 5, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 386, 2, 5, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 505, 4, 137, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 524, 1, 201, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 509, 1, 26, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 1, false, false, 353, 1, 32, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 1, false, false, 398, 1, 32, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 1, false, false, 353, 1, 32, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 1, false, false, 353, 1, 32, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 1, false, false, 353, 1, 43, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 2, false, false, 525, 3, 43, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 1, false, false, 398, 1, 32, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 2, false, false, 525, 3, 43, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 2, false, false, 528, 3, 43, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 2, false, false, 525, 3, 13, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 2, false, false, 525, 3, 13, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 1, false, false, 353, 1, 32, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 1, false, false, 353, 1, 43, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 2, false, false, 525, 3, 43, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 1, false, false, 353, 1, 39, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 1, false, false, 353, 1, 39, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 1, false, false, 353, 1, 10, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 353, 1, 10, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 1, false, false, 353, 1, 39, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 1, false, false, 353, 1, 39, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 1, false, false, 353, 1, 10, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 1, false, false, 353, 1, 39, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 1, false, false, 353, 1, 39, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 1, false, false, 353, 1, 10, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 353, 1, 10, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 1, false, false, 353, 1, 39, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 1, false, false, 353, 1, 39, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 353, 1, 10, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 1, false, false, 353, 1, 10, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 1, false, false, 353, 1, 39, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 1, false, false, 353, 1, 39, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 1, false, false, 353, 1, 10, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 353, 1, 10, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 353, 1, 10, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 353, 1, 10, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 353, 1, 10, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 353, 1, 10, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 2, false, false, 531, 4, 5, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 2, false, false, 531, 4, 5, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 2, false, false, 531, 4, 179, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 2, false, false, 531, 4, 179, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 1, false, false, 432, 2, 5, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 1, false, false, 432, 2, 179, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 1, false, false, 432, 2, 179, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 1, false, false, 390, 1, 179, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 1, false, false, 390, 1, 179, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 535, 4, 8, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 399, 1, 5, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 386, 2, 1, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 499, 2, 5, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 14, 1, 1, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 14, 1, 1, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 14, 1, 1, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 385, 1, 5, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 386, 2, 5, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 502, 3, 8, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 502, 3, 8, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 307, 1, 137, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 307, 1, 137, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 307, 1, 137, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 307, 1, 228, 1, 101, 3}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 307, 1, 228, 1, 101, 3}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 539, 2, 137, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 539, 2, 137, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 368, 2, 227, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 368, 2, 227, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 388, 1, 1, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 505, 4, 21, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 469, 1, 229, 1, 104, 1}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 469, 1, 10, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 385, 1, 5, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 505, 4, 1, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 388, 1, 1, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 307, 1, 137, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 307, 1, 137, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 385, 1, 5, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 388, 1, 1, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 505, 4, 1, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 388, 1, 1, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 307, 1, 137, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 388, 1, 1, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 499, 2, 137, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 503, 1, 5, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 503, 1, 5, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 503, 1, 5, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2, 1, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 503, 1, 5, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 499, 2, 5, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 502, 3, 8, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 501, 1, 230, 1, 105, 1}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 499, 2, 137, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 503, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 503, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 499, 2, 5, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 385, 1, 5, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 501, 1, 230, 1, 106, 1}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 512, 3, 10, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 399, 1, 5, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 512, 3, 10, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 399, 1, 5, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 512, 3, 10, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 412, 1, 5, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 386, 2, 5, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 412, 1, 5, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 2, false, false, 412, 1, 1, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 307, 1, 228, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 2, false, false, 412, 1, 1, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 3, false, false, 425, 1, 137, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 3, false, false, 425, 1, 137, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 4, false, false, 425, 1, 8, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 4, false, false, 425, 1, 8, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 432, 2, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 0, 0, 0, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 226, 2, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 226, 2, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 230, 2, 1, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 226, 2, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 6, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 470, 3, 5, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 541, 4, 137, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 386, 2, 1, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 518, 6, 8, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 385, 1, 5, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 512, 3, 10, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 539, 2, 1, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 505, 4, 137, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 539, 2, 1, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 388, 1, 1, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 307, 1, 137, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 513, 2, 137, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 385, 1, 5, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 385, 1, 5, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 385, 1, 5, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 14, 1, 1, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 385, 1, 5, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 388, 1, 1, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 353, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 525, 3, 13, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 353, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 353, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 353, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 353, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 226, 2, 137, 1, 93, 4}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 226, 2, 137, 1, 93, 4}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 228, 2, 8, 1, 93, 4}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 391, 2, 201, 1, 1, 2}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 393, 2, 226, 1, 1, 2}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 0, 0, 0, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 24, 1, 5, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false, 0, 0, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 1, false, false, 353, 1, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 353, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 353, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 226, 2, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 226, 2, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 390, 1, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 505, 4, 21, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 501, 1, 230, 1, 105, 1}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 502, 3, 8, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 385, 1, 5, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 518, 6, 8, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 505, 4, 1, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 505, 4, 1, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 307, 1, 228, 1, 101, 3}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 307, 1, 228, 1, 101, 3}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 307, 1, 228, 1, 101, 3}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 545, 5, 10, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 2, false, false, 547, 3, 1, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 307, 1, 137, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 388, 1, 137, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 388, 1, 137, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 353, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 1, false, false, 353, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 399, 1, 5, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1, 5, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 399, 1, 5, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 399, 1, 5, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 226, 2, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 399, 1, 5, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 518, 6, 8, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 385, 1, 5, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 539, 2, 137, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 539, 2, 137, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 368, 2, 227, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 535, 4, 8, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 503, 1, 5, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 502, 3, 8, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 502, 3, 8, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 399, 1, 5, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 399, 1, 5, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 550, 2, 5, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 552, 2, 1, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 552, 2, 1, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 505, 4, 1, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 539, 2, 1, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 388, 1, 1, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 386, 2, 1, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 386, 2, 1, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 368, 2, 1, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 307, 1, 228, 1, 101, 3}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 368, 2, 227, 1, 101, 3}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 307, 1, 228, 1, 101, 3}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 368, 2, 227, 1, 101, 3}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 554, 2, 5, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 505, 4, 137, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 399, 1, 5, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 399, 1, 5, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 550, 2, 5, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 552, 2, 1, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 552, 2, 1, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 541, 4, 137, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 386, 2, 1, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 556, 2, 31, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 368, 2, 137, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 368, 2, 137, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 554, 2, 5, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 2, false, false, 512, 3, 10, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 558, 2, 31, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 518, 6, 8, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 385, 1, 5, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 385, 1, 5, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 513, 2, 137, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 535, 4, 8, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 1, false, false, 501, 1, 230, 1, 105, 1}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 105, 1}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 501, 1, 230, 1, 105, 1}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 501, 1, 230, 1, 105, 1}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 501, 1, 230, 1, 105, 1}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 501, 1, 230, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 556, 2, 31, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 560, 2, 31, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 558, 2, 31, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 562, 2, 31, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 385, 1, 5, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 385, 1, 5, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 554, 2, 5, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 470, 3, 5, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 470, 3, 5, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 469, 1, 10, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 2, false, false, 230, 2, 1, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #861
{DBGFIELD("CSELWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #866
{DBGFIELD("BFMWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #869
{DBGFIELD("RBITWr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 226, 2, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 2, false, false, 230, 2, 1, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 2, false, false, 230, 2, 1, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 226, 2, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #886
{DBGFIELD("ADCXr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 24, 1, 5, 1, 0, 1}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 473, 2, 1, 1, 0, 0}, // #891
{DBGFIELD("LDNPWi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 1, false, false, 353, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 353, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 2, false, false, 525, 3, 13, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 1, false, false, 353, 1, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 2, false, false, 525, 3, 13, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 2, false, false, 525, 3, 13, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 1, false, false, 353, 1, 14, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 353, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 353, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 432, 2, 5, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 390, 1, 5, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 432, 2, 5, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 432, 2, 5, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 432, 2, 5, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 390, 1, 5, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 390, 1, 5, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 14, 1, 1, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 552, 2, 1, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 14, 1, 1, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 399, 1, 5, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 399, 1, 5, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 353, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 390, 1, 5, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 2, false, false, 24, 1, 1, 1, 0, 1}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 1, false, false, 353, 1, 10, 1, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 1, false, false, 353, 1, 8, 1, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 1, false, false, 390, 1, 5, 1, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 1, false, false, 564, 3, 1, 1, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 1, false, false, 390, 1, 5, 1, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 1, false, false, 385, 1, 5, 1, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 1, false, false, 0, 0, 0, 1, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 3, false, false, 395, 2, 137, 1, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 2, false, false, 230, 2, 1, 1, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 1, false, false, 24, 1, 5, 1, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 1, false, false, 98, 1, 1, 1, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 3, false, false, 567, 2, 26, 1, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 2, false, false, 569, 2, 10, 1, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 2, false, false, 525, 3, 21, 1, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 353, 1, 10, 1, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 2, false, false, 571, 4, 137, 1, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 1, false, false, 432, 2, 5, 1, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // ExynosM4ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc ExynosM5ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 353, 1, 5, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 24, 1, 5, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 24, 1, 5, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 1, false, false, 24, 1, 5, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 1, false, false, 24, 1, 5, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 0, false, false, 0, 0, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 24, 1, 5, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 24, 1, 5, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 0, 0, 0, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 575, 3, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 1, false, false, 578, 2, 231, 1, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #14
{DBGFIELD("WriteF") 1, false, false, 15, 1, 1, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 386, 2, 1, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 507, 1, 1, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 389, 1, 201, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 580, 1, 137, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 353, 1, 1, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 353, 1, 5, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 581, 1, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 338, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 1, false, false, 338, 1, 13, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 1, false, false, 338, 1, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("WriteLDAdr") 1, false, false, 338, 1, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 575, 3, 1, 1, 93, 4}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 582, 3, 137, 1, 93, 4}, // #31
{DBGFIELD("WriteImm") 1, false, false, 24, 1, 5, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 0, false, false, 0, 0, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 585, 2, 117, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 587, 2, 214, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 582, 3, 137, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 581, 1, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 1, false, false, 581, 1, 179, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 1, false, false, 581, 1, 179, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 589, 4, 181, 4, 0, 0}, // #42
{DBGFIELD("COPY") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 2, false, false, 485, 2, 31, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 338, 1, 21, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 338, 1, 21, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 434, 1, 21, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 436, 1, 31, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 438, 1, 31, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 593, 4, 232, 3, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 597, 3, 235, 3, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 597, 3, 235, 3, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 3, false, false, 593, 3, 235, 3, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 4, false, false, 600, 3, 232, 3, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 492, 2, 31, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 434, 1, 21, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 606, 1, 187, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 606, 1, 187, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 4, false, false, 607, 4, 232, 3, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 3, false, false, 593, 3, 235, 3, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 3, false, false, 611, 3, 238, 3, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 611, 3, 238, 3, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 5, false, false, 614, 2, 26, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 436, 1, 31, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 436, 1, 231, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 436, 1, 231, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 6, false, false, 616, 4, 241, 3, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 4, false, false, 600, 3, 37, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 4, false, false, 600, 3, 244, 3, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 4, false, false, 600, 3, 244, 3, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 6, false, false, 620, 2, 26, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 438, 1, 31, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 4, false, false, 622, 1, 202, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 622, 1, 202, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 7, false, false, 623, 4, 241, 3, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 627, 3, 247, 3, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 5, false, false, 627, 3, 247, 3, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 630, 2, 5, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 630, 2, 5, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 2, false, false, 632, 2, 1, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 3, false, false, 634, 2, 137, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 4, false, false, 636, 2, 8, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 638, 4, 179, 3, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 638, 4, 179, 3, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 4, false, false, 646, 4, 253, 3, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 5, false, false, 650, 4, 182, 3, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 630, 2, 1, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 630, 2, 1, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 632, 2, 1, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 638, 4, 250, 3, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 638, 4, 250, 3, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 642, 4, 250, 3, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 632, 2, 1, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 5, false, false, 654, 3, 8, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 5, false, false, 654, 3, 8, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 6, false, false, 657, 5, 182, 3, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 6, false, false, 657, 5, 182, 3, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 632, 2, 1, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 5, false, false, 662, 3, 26, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 5, false, false, 662, 3, 26, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 6, false, false, 665, 5, 241, 3, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 6, false, false, 665, 5, 241, 3, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 353, 1, 5, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 670, 1, 31, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 389, 1, 201, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 467, 1, 31, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 1, false, false, 468, 1, 201, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 580, 1, 256, 1, 0, 0}, // #116
{DBGFIELD("BL") 2, false, false, 199, 2, 1, 1, 0, 0}, // #117
{DBGFIELD("BLR") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 582, 3, 137, 1, 1, 2}, // #120
{DBGFIELD("EXTRWrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 199, 2, 5, 1, 0, 0}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 1, false, false, 671, 1, 257, 1, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 671, 1, 257, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 1, false, false, 671, 1, 257, 1, 109, 1}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 671, 1, 257, 1, 109, 1}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 672, 3, 1, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 672, 3, 1, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 671, 1, 10, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 672, 3, 1, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 671, 1, 10, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 675, 2, 258, 1, 110, 1}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 485, 2, 31, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 3, false, false, 593, 4, 232, 3, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 338, 1, 21, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 597, 3, 235, 3, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 338, 1, 21, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 597, 3, 235, 3, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 338, 1, 21, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 597, 3, 235, 3, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 434, 1, 21, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 3, false, false, 593, 3, 235, 3, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 436, 1, 31, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 4, false, false, 600, 3, 232, 3, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 438, 1, 31, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 492, 2, 31, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 4, false, false, 607, 4, 232, 3, 0, 0}, // #149
{DBGFIELD("LD2i32") 3, false, false, 492, 2, 31, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 4, false, false, 607, 4, 232, 3, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 434, 1, 21, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 3, false, false, 593, 3, 235, 3, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 2, false, false, 434, 1, 21, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 3, false, false, 593, 3, 235, 3, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 606, 1, 187, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 3, false, false, 611, 3, 238, 3, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 677, 2, 26, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 679, 4, 241, 3, 0, 0}, // #159
{DBGFIELD("LD3i32") 4, false, false, 677, 2, 26, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 5, false, false, 679, 4, 241, 3, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 436, 1, 31, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 4, false, false, 600, 3, 37, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 3, false, false, 436, 1, 31, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 4, false, false, 600, 3, 37, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 436, 1, 31, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 4, false, false, 600, 3, 37, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 436, 1, 231, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 4, false, false, 600, 3, 244, 3, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 683, 2, 26, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 6, false, false, 685, 4, 241, 3, 0, 0}, // #171
{DBGFIELD("LD4i32") 5, false, false, 683, 2, 26, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 6, false, false, 685, 4, 241, 3, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 438, 1, 31, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 4, false, false, 438, 1, 31, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 438, 1, 31, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 603, 3, 232, 3, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 4, false, false, 622, 1, 202, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 627, 3, 247, 3, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 630, 2, 5, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 638, 4, 179, 3, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 630, 2, 5, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 638, 4, 179, 3, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 632, 2, 1, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 3, false, false, 634, 2, 137, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 4, false, false, 646, 4, 253, 3, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 636, 2, 8, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 650, 4, 182, 3, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 630, 2, 1, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 638, 4, 250, 3, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 632, 2, 1, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 642, 4, 250, 3, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 2, false, false, 632, 2, 1, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #197
{DBGFIELD("ST3i32") 2, false, false, 632, 2, 1, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 3, false, false, 689, 3, 8, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 4, false, false, 692, 5, 182, 3, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 2, false, false, 632, 2, 1, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #203
{DBGFIELD("ST4i32") 2, false, false, 632, 2, 1, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 3, false, false, 642, 4, 250, 3, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 4, false, false, 697, 3, 8, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 5, false, false, 700, 5, 182, 3, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 672, 3, 1, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 672, 3, 1, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 501, 1, 259, 1, 111, 1}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 259, 1, 111, 1}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 353, 1, 5, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 672, 3, 137, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 672, 3, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 551, 1, 1, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 705, 3, 8, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 353, 1, 5, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 15, 1, 1, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 507, 1, 1, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 708, 3, 1, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 708, 3, 1, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 670, 1, 31, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 711, 1, 26, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 1, false, false, 283, 1, 26, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 1, false, false, 291, 1, 201, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 386, 2, 1, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 708, 3, 137, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 708, 3, 137, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 1, false, false, 399, 1, 1, 1, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 712, 3, 10, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 507, 1, 137, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 507, 1, 137, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 580, 1, 256, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 399, 1, 1, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 2, false, false, 399, 1, 8, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 399, 1, 21, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 4, false, false, 399, 1, 26, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 399, 1, 1, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 2, false, false, 399, 1, 8, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 399, 1, 21, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 4, false, false, 399, 1, 26, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 715, 4, 31, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 712, 3, 10, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1, 1, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 15, 1, 1, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 719, 3, 8, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 353, 1, 5, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 719, 3, 21, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 353, 1, 5, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 386, 2, 5, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 708, 3, 137, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 722, 1, 201, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 711, 1, 26, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 1, false, false, 338, 1, 100, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 1, false, false, 434, 1, 100, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 1, false, false, 338, 1, 100, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 1, false, false, 338, 1, 100, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 2, false, false, 597, 3, 100, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 2, false, false, 597, 3, 100, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 1, false, false, 434, 1, 100, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 2, false, false, 593, 3, 100, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 2, false, false, 593, 3, 100, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 2, false, false, 597, 3, 13, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 2, false, false, 597, 3, 13, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 1, false, false, 338, 1, 100, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 2, false, false, 597, 3, 100, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 2, false, false, 597, 3, 100, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 1, false, false, 338, 1, 21, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 1, false, false, 338, 1, 21, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 1, false, false, 338, 1, 21, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 338, 1, 21, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 1, false, false, 338, 1, 21, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 1, false, false, 338, 1, 21, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 1, false, false, 338, 1, 21, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 1, false, false, 338, 1, 21, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 1, false, false, 338, 1, 21, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 1, false, false, 338, 1, 21, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 338, 1, 21, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 1, false, false, 338, 1, 21, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 1, false, false, 338, 1, 21, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 338, 1, 21, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 1, false, false, 338, 1, 21, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 1, false, false, 338, 1, 21, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 1, false, false, 338, 1, 21, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 1, false, false, 338, 1, 21, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 338, 1, 21, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 338, 1, 21, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 338, 1, 21, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 338, 1, 21, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 338, 1, 21, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 1, false, false, 723, 3, 5, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 1, false, false, 723, 3, 5, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 1, false, false, 726, 6, 179, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 1, false, false, 726, 6, 179, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 1, false, false, 630, 2, 5, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 1, false, false, 630, 2, 179, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 1, false, false, 630, 2, 179, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 1, false, false, 581, 1, 179, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 1, false, false, 581, 1, 179, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 732, 5, 137, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 399, 1, 1, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 386, 2, 1, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 499, 2, 5, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 15, 1, 1, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 15, 1, 1, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 386, 2, 1, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 15, 1, 1, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 353, 1, 5, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 386, 2, 5, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 705, 3, 8, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 705, 3, 8, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 501, 1, 259, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 501, 1, 259, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 580, 1, 137, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 580, 1, 137, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 580, 1, 256, 1, 107, 2}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 580, 1, 256, 1, 107, 2}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 507, 1, 137, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 507, 1, 137, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 580, 1, 256, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 580, 1, 256, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 507, 1, 1, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 719, 3, 21, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 671, 1, 257, 1, 109, 1}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 671, 1, 10, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 353, 1, 1, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 708, 3, 1, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 507, 1, 1, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 580, 1, 137, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 353, 1, 1, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 507, 1, 1, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 708, 3, 1, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 507, 1, 1, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 580, 1, 137, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 507, 1, 1, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 499, 2, 137, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 551, 1, 1, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 551, 1, 1, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 551, 1, 1, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2, 1, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 551, 1, 1, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 499, 2, 5, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 705, 3, 8, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 501, 1, 259, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 499, 2, 137, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 551, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 499, 2, 5, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 501, 1, 259, 1, 111, 1}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 499, 2, 137, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 353, 1, 5, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 199, 2, 5, 1, 0, 0}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 712, 3, 10, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 399, 1, 1, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 712, 3, 10, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 399, 1, 1, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 712, 3, 10, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 399, 1, 1, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 386, 2, 5, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 399, 1, 1, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 2, false, false, 399, 1, 8, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 580, 1, 256, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 2, false, false, 399, 1, 8, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 3, false, false, 399, 1, 21, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 3, false, false, 399, 1, 21, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 4, false, false, 399, 1, 26, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 4, false, false, 399, 1, 26, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 630, 2, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 0, 0, 0, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 575, 3, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 575, 3, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 737, 4, 1, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 575, 3, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 227, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 672, 3, 1, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 741, 5, 137, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 386, 2, 1, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 719, 3, 8, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 353, 1, 1, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 712, 3, 1, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 507, 1, 1, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 708, 3, 137, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 507, 1, 1, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 507, 1, 1, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 580, 1, 137, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 713, 2, 8, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 353, 1, 5, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 353, 1, 1, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 353, 1, 5, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 15, 1, 1, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 353, 1, 5, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 507, 1, 1, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 338, 1, 8, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 2, false, false, 597, 3, 13, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 338, 1, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 338, 1, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 338, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 338, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 199, 2, 5, 1, 0, 0}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 575, 3, 1, 1, 93, 4}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 575, 3, 1, 1, 93, 4}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 582, 3, 137, 1, 93, 4}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 585, 2, 117, 1, 1, 2}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 587, 2, 214, 1, 1, 2}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 0, 0, 0, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 24, 1, 5, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 0, false, false, 0, 0, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 1, false, false, 338, 1, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 338, 1, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 338, 1, 11, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 575, 3, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 575, 3, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 581, 1, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 719, 3, 21, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 501, 1, 259, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 499, 2, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 705, 3, 8, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 499, 2, 137, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 353, 1, 1, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 24, 1, 5, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 24, 1, 5, 1, 1, 2}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 353, 1, 5, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 353, 1, 5, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 386, 2, 5, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 719, 3, 8, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 708, 3, 1, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 708, 3, 1, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 580, 1, 256, 1, 107, 2}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 580, 1, 256, 1, 107, 2}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 749, 6, 10, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 2, false, false, 716, 3, 21, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 507, 1, 137, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 507, 1, 137, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 338, 1, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 1, false, false, 338, 1, 11, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 399, 1, 1, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 399, 1, 1, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 399, 1, 1, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 399, 1, 1, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 575, 3, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 399, 1, 5, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 719, 3, 8, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 353, 1, 5, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 507, 1, 137, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 507, 1, 137, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 580, 1, 256, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 732, 5, 137, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 386, 2, 5, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 551, 1, 1, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 705, 3, 8, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 705, 3, 8, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 399, 1, 1, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 399, 1, 1, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 399, 1, 1, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 15, 1, 1, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 15, 1, 1, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 708, 3, 1, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 507, 1, 1, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 507, 1, 1, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 386, 2, 1, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 386, 2, 1, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 580, 1, 137, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 580, 1, 256, 1, 107, 2}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 580, 1, 256, 1, 107, 2}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 580, 1, 256, 1, 107, 2}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 580, 1, 256, 1, 107, 2}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 708, 3, 137, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 399, 1, 1, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 399, 1, 1, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 399, 1, 5, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 15, 1, 1, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 15, 1, 1, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 741, 5, 137, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 386, 2, 1, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 755, 1, 10, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 580, 1, 137, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 580, 1, 137, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 353, 1, 1, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 2, false, false, 712, 3, 1, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 270, 1, 10, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 719, 3, 8, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 353, 1, 5, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 353, 1, 1, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 713, 2, 8, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 732, 5, 137, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 1, false, false, 501, 1, 259, 1, 111, 1}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 501, 1, 259, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 501, 1, 259, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 501, 1, 259, 1, 111, 1}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 501, 1, 259, 1, 111, 1}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 555, 1, 1, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 670, 1, 31, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 467, 1, 31, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 273, 1, 31, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 756, 1, 31, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 353, 1, 1, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 353, 1, 1, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 353, 1, 5, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 672, 3, 5, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 672, 3, 5, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 671, 1, 10, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 2, false, false, 757, 3, 1, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #861
{DBGFIELD("CSELWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #866
{DBGFIELD("BFMWri") 1, false, false, 24, 1, 5, 1, 0, 0}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 24, 1, 5, 1, 0, 0}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #869
{DBGFIELD("RBITWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 6, false, false, 760, 2, 202, 1, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 6, false, false, 762, 3, 202, 1, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 575, 3, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 2, false, false, 757, 3, 1, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 2, false, false, 737, 4, 1, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 575, 3, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #886
{DBGFIELD("ADCXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 24, 1, 5, 1, 0, 0}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 199, 2, 5, 1, 0, 0}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 675, 2, 258, 1, 110, 1}, // #891
{DBGFIELD("LDNPWi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 1, false, false, 338, 1, 11, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 338, 1, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 2, false, false, 597, 3, 13, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 1, false, false, 338, 1, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 2, false, false, 597, 3, 13, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 2, false, false, 597, 3, 13, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 1, false, false, 338, 1, 14, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 338, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 338, 1, 8, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 630, 2, 5, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 581, 1, 5, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 630, 2, 5, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 630, 2, 5, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 630, 2, 5, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 581, 1, 5, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 581, 1, 5, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 15, 1, 1, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 15, 1, 1, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 15, 1, 1, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 386, 2, 5, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 386, 2, 5, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 399, 1, 1, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 399, 1, 1, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #965
{DBGFIELD("CASX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #967
{DBGFIELD("CASAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #969
{DBGFIELD("CASLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 338, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #972
{DBGFIELD("LDADDX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #974
{DBGFIELD("LDADDAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #976
{DBGFIELD("LDADDLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #978
{DBGFIELD("LDADDALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #980
{DBGFIELD("LDCLRX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #986
{DBGFIELD("LDEORX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #988
{DBGFIELD("LDEORAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #990
{DBGFIELD("LDEORLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #992
{DBGFIELD("LDEORALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #994
{DBGFIELD("LDSETX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #996
{DBGFIELD("LDSETAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #998
{DBGFIELD("LDSETLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1008
{DBGFIELD("SWPX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1010
{DBGFIELD("SWPAX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 1, false, false, 578, 2, 231, 1, 0, 0}, // #1012
{DBGFIELD("SWPLX") 1, false, false, 746, 3, 231, 1, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 581, 1, 5, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 1, false, false, 24, 1, 5, 1, 0, 1}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 2, false, false, 24, 1, 1, 1, 0, 1}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 1, false, false, 338, 1, 10, 1, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 1, false, false, 338, 1, 8, 1, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 1, false, false, 581, 1, 5, 1, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 1, false, false, 765, 3, 1, 1, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 1, false, false, 581, 1, 5, 1, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 1, false, false, 353, 1, 1, 1, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 1, false, false, 0, 0, 0, 1, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 3, false, false, 768, 3, 137, 1, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 2, false, false, 737, 4, 1, 1, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 1, false, false, 199, 2, 5, 1, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 1, false, false, 201, 2, 1, 1, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 1, false, false, 24, 1, 5, 1, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 2, false, false, 24, 1, 1, 1, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 2, false, false, 199, 2, 1, 1, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 3, false, false, 771, 2, 26, 1, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 2, false, false, 773, 2, 10, 1, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 2, false, false, 597, 3, 31, 1, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 1, false, false, 338, 1, 21, 1, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 1, false, false, 338, 1, 21, 1, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 1, false, false, 630, 2, 5, 1, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 2, false, false, 638, 4, 137, 1, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 1, false, false, 353, 1, 5, 1, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 1, false, false, 98, 1, 1, 1, 0, 0}, // #1109
}; // ExynosM5ModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc FalkorModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #2
{DBGFIELD("WriteI_ReadI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #5
{DBGFIELD("WriteAdr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #6
{DBGFIELD("WriteI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #8
{DBGFIELD("WriteSys") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #9
{DBGFIELD("WriteBr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #14
{DBGFIELD("WriteF") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #18
{DBGFIELD("WriteFMul") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #20
{DBGFIELD("WriteFImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #21
{DBGFIELD("WriteHint") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #22
{DBGFIELD("WriteST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #23
{DBGFIELD("WriteLD") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("WriteLDAdr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #31
{DBGFIELD("WriteImm") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #36
{DBGFIELD("WriteSTP") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #39
{DBGFIELD("WriteSTX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #42
{DBGFIELD("COPY") 1, false, false, 366, 2, 5, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 99, 1, 137, 1, 112, 1}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 99, 1, 137, 1, 112, 1}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 99, 1, 137, 1, 112, 1}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 99, 1, 137, 1, 112, 1}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 125, 1, 137, 1, 112, 1}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 8, false, false, 775, 2, 8, 1, 112, 1}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 126, 1, 137, 1, 112, 1}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 9, false, false, 777, 4, 262, 2, 112, 1}, // #70
{DBGFIELD("LD3Threev2d_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 2, false, false, 125, 1, 137, 1, 112, 1}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 8, false, false, 775, 2, 8, 1, 112, 1}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 127, 1, 137, 1, 112, 1}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 9, false, false, 777, 4, 262, 2, 112, 1}, // #78
{DBGFIELD("LD4Fourv2d_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 162, 2, 0, 1, 113, 1}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 162, 2, 0, 1, 113, 1}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 4, false, false, 781, 2, 0, 1, 113, 1}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 783, 2, 0, 1, 113, 1}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 785, 2, 0, 1, 113, 1}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 7, false, false, 795, 4, 266, 2, 113, 1}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 9, false, false, 799, 4, 266, 2, 113, 1}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 162, 2, 0, 1, 113, 1}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 162, 2, 0, 1, 113, 1}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 4, false, false, 781, 2, 0, 1, 113, 1}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 781, 2, 0, 1, 113, 1}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 10, false, false, 803, 3, 0, 1, 113, 1}, // #97
{DBGFIELD("ST3Threev2d") 6, false, false, 783, 2, 0, 1, 113, 1}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 11, false, false, 806, 5, 266, 2, 113, 1}, // #100
{DBGFIELD("ST3Threev2d_POST") 7, false, false, 795, 4, 266, 2, 113, 1}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 781, 2, 0, 1, 113, 1}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 12, false, false, 811, 3, 0, 1, 113, 1}, // #103
{DBGFIELD("ST4Fourv2d") 8, false, false, 785, 2, 0, 1, 113, 1}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 13, false, false, 814, 5, 266, 2, 113, 1}, // #106
{DBGFIELD("ST4Fourv2d_POST") 9, false, false, 799, 4, 266, 2, 113, 1}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 2, false, false, 819, 3, 117, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 2, false, false, 819, 3, 211, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 822, 3, 268, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 4, false, false, 822, 3, 211, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false, 33, 1, 269, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false, 33, 1, 270, 1, 0, 0}, // #116
{DBGFIELD("BL") 1, false, false, 367, 1, 0, 1, 0, 0}, // #117
{DBGFIELD("BLR") 2, false, false, 825, 5, 0, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 830, 4, 271, 1, 0, 0}, // #120
{DBGFIELD("EXTRWrri") 2, false, false, 826, 2, 1, 1, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 2, false, false, 826, 2, 1, 1, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 2, false, false, 33, 1, 137, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 2, false, false, 33, 1, 1, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 2, false, false, 33, 1, 1, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 819, 3, 8, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 2, false, false, 33, 1, 1, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 819, 3, 10, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 830, 4, 1, 1, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 834, 2, 8, 1, 112, 1}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 834, 2, 262, 2, 112, 1}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 99, 1, 137, 1, 112, 1}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 99, 1, 137, 1, 112, 1}, // #138
{DBGFIELD("LD1Rv1d_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 99, 1, 137, 1, 112, 1}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 1, false, false, 99, 1, 260, 2, 112, 1}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 125, 1, 137, 1, 112, 1}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 3, false, false, 125, 1, 260, 2, 112, 1}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 4, false, false, 125, 1, 137, 1, 112, 1}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 4, false, false, 125, 1, 260, 2, 112, 1}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 834, 2, 8, 1, 112, 1}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 3, false, false, 834, 2, 262, 2, 112, 1}, // #149
{DBGFIELD("LD2i32") 3, false, false, 834, 2, 8, 1, 112, 1}, // #150
{DBGFIELD("LD2i32_POST") 3, false, false, 834, 2, 262, 2, 112, 1}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 2, false, false, 99, 1, 137, 1, 112, 1}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #153
{DBGFIELD("LD2Rv1d") 2, false, false, 99, 1, 137, 1, 112, 1}, // #154
{DBGFIELD("LD2Rv1d_POST") 2, false, false, 99, 1, 260, 2, 112, 1}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 125, 1, 137, 1, 112, 1}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 125, 1, 260, 2, 112, 1}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 836, 2, 8, 1, 112, 1}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 4, false, false, 836, 2, 262, 2, 112, 1}, // #159
{DBGFIELD("LD3i32") 4, false, false, 836, 2, 8, 1, 112, 1}, // #160
{DBGFIELD("LD3i32_POST") 4, false, false, 836, 2, 262, 2, 112, 1}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 125, 1, 137, 1, 112, 1}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 3, false, false, 125, 1, 260, 2, 112, 1}, // #163
{DBGFIELD("LD3Rv1d") 3, false, false, 125, 1, 137, 1, 112, 1}, // #164
{DBGFIELD("LD3Rv1d_POST") 3, false, false, 125, 1, 260, 2, 112, 1}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 126, 1, 137, 1, 112, 1}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 3, false, false, 126, 1, 260, 2, 112, 1}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 5, false, false, 838, 2, 8, 1, 112, 1}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 838, 2, 262, 2, 112, 1}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 840, 2, 8, 1, 112, 1}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 5, false, false, 840, 2, 262, 2, 112, 1}, // #171
{DBGFIELD("LD4i32") 5, false, false, 840, 2, 8, 1, 112, 1}, // #172
{DBGFIELD("LD4i32_POST") 5, false, false, 840, 2, 262, 2, 112, 1}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 4, false, false, 125, 1, 137, 1, 112, 1}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 4, false, false, 125, 1, 260, 2, 112, 1}, // #175
{DBGFIELD("LD4Rv1d") 4, false, false, 125, 1, 137, 1, 112, 1}, // #176
{DBGFIELD("LD4Rv1d_POST") 4, false, false, 125, 1, 260, 2, 112, 1}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 127, 1, 137, 1, 112, 1}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 4, false, false, 127, 1, 260, 2, 112, 1}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 6, false, false, 838, 2, 8, 1, 112, 1}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 6, false, false, 838, 2, 262, 2, 112, 1}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 162, 2, 0, 1, 113, 1}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 2, false, false, 162, 2, 0, 1, 113, 1}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 162, 2, 264, 2, 113, 1}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 162, 2, 0, 1, 113, 1}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 4, false, false, 781, 2, 0, 1, 113, 1}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 4, false, false, 781, 2, 0, 1, 113, 1}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 162, 2, 0, 1, 113, 1}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 3, false, false, 787, 4, 266, 2, 113, 1}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 4, false, false, 781, 2, 0, 1, 113, 1}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #195
{DBGFIELD("ST3i16_ST3i8") 4, false, false, 781, 2, 0, 1, 113, 1}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #197
{DBGFIELD("ST3i32") 4, false, false, 781, 2, 0, 1, 113, 1}, // #198
{DBGFIELD("ST3i32_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 5, false, false, 842, 3, 0, 1, 113, 1}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 6, false, false, 845, 5, 266, 2, 113, 1}, // #201
{DBGFIELD("ST4i16_ST4i8") 4, false, false, 781, 2, 0, 1, 113, 1}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #203
{DBGFIELD("ST4i32") 4, false, false, 781, 2, 0, 1, 113, 1}, // #204
{DBGFIELD("ST4i32_POST") 5, false, false, 791, 4, 266, 2, 113, 1}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 6, false, false, 850, 3, 0, 1, 113, 1}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 7, false, false, 853, 5, 266, 2, 113, 1}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 2, false, false, 33, 1, 8, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 4, false, false, 39, 1, 8, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 4, false, false, 39, 1, 137, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 12, 1, 8, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 3, false, false, 33, 1, 10, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 3, false, false, 33, 1, 21, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 12, 1, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 12, 1, 8, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 12, 1, 10, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 12, 1, 1, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 33, 1, 1, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 12, 1, 272, 1, 114, 2}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false, 33, 1, 273, 1, 114, 2}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 33, 1, 273, 1, 114, 2}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 12, 1, 272, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false, 33, 1, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 12, 1, 137, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 12, 1, 1, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 33, 1, 1, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 33, 1, 10, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 12, 1, 8, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false, 33, 1, 8, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 2, false, false, 819, 3, 117, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 2, false, false, 819, 3, 201, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 4, false, false, 822, 3, 274, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 4, false, false, 822, 3, 226, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 12, 1, 1, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 12, 1, 275, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false, 33, 1, 269, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 12, 1, 275, 1, 116, 2}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 2, false, false, 33, 1, 269, 1, 118, 2}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 33, 1, 5, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 113, 1, 5, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 12, 1, 137, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 2, false, false, 33, 1, 137, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 12, 1, 275, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 12, 1, 276, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 12, 1, 275, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false, 33, 1, 270, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 2, false, false, 33, 1, 8, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 3, false, false, 33, 1, 10, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 4, false, false, 39, 1, 21, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 5, false, false, 858, 1, 31, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 2, false, false, 33, 1, 8, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 3, false, false, 33, 1, 10, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 4, false, false, 39, 1, 21, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 5, false, false, 858, 1, 31, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false, 6, 1, 5, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 859, 2, 1, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 12, 1, 5, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 12, 1, 137, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 12, 1, 275, 1, 120, 2}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 6, 1, 5, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 2, false, false, 819, 3, 226, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 2, false, false, 819, 3, 201, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 2, false, false, 99, 1, 277, 2, 112, 1}, // #301
{DBGFIELD("LDNPQi") 2, false, false, 125, 1, 277, 2, 112, 1}, // #302
{DBGFIELD("LDNPSi") 2, false, false, 99, 1, 277, 2, 112, 1}, // #303
{DBGFIELD("LDPDi") 2, false, false, 99, 1, 277, 2, 112, 1}, // #304
{DBGFIELD("LDPDpost") 2, false, false, 99, 1, 279, 3, 112, 1}, // #305
{DBGFIELD("LDPDpre") 2, false, false, 99, 1, 279, 3, 112, 1}, // #306
{DBGFIELD("LDPQi") 2, false, false, 125, 1, 277, 2, 112, 1}, // #307
{DBGFIELD("LDPQpost") 2, false, false, 125, 1, 279, 3, 112, 1}, // #308
{DBGFIELD("LDPQpre") 2, false, false, 125, 1, 279, 3, 112, 1}, // #309
{DBGFIELD("LDPSWi") 1, false, false, 99, 1, 11, 2, 112, 1}, // #310
{DBGFIELD("LDPSWpost") 1, false, false, 99, 1, 282, 3, 112, 1}, // #311
{DBGFIELD("LDPSWpre") 1, false, false, 99, 1, 282, 3, 112, 1}, // #312
{DBGFIELD("LDPSi") 2, false, false, 99, 1, 277, 2, 112, 1}, // #313
{DBGFIELD("LDPSpost") 2, false, false, 99, 1, 279, 3, 112, 1}, // #314
{DBGFIELD("LDPSpre") 2, false, false, 99, 1, 279, 3, 112, 1}, // #315
{DBGFIELD("LDRBpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #316
{DBGFIELD("LDRBpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #320
{DBGFIELD("LDRDl") 1, false, false, 99, 1, 137, 1, 112, 1}, // #321
{DBGFIELD("LDRDpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #322
{DBGFIELD("LDRDpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #329
{DBGFIELD("LDRHpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #333
{DBGFIELD("LDRQl") 1, false, false, 99, 1, 137, 1, 112, 1}, // #334
{DBGFIELD("LDRQpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #335
{DBGFIELD("LDRQpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 1, false, false, 99, 1, 137, 1, 112, 1}, // #344
{DBGFIELD("LDRSpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #345
{DBGFIELD("LDRSpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #349
{DBGFIELD("LDURBi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #350
{DBGFIELD("LDURDi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #351
{DBGFIELD("LDURHi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #352
{DBGFIELD("LDURQi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #353
{DBGFIELD("LDURSi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #354
{DBGFIELD("STNPDi") 2, false, false, 162, 2, 0, 1, 122, 1}, // #355
{DBGFIELD("STNPQi") 4, false, false, 781, 2, 0, 1, 122, 1}, // #356
{DBGFIELD("STNPXi") 2, false, false, 253, 2, 0, 1, 122, 1}, // #357
{DBGFIELD("STPDi") 2, false, false, 162, 2, 0, 1, 122, 1}, // #358
{DBGFIELD("STPDpost") 2, false, false, 162, 2, 264, 2, 122, 1}, // #359
{DBGFIELD("STPDpre") 2, false, false, 162, 2, 264, 2, 122, 1}, // #360
{DBGFIELD("STPQi") 4, false, false, 781, 2, 0, 1, 122, 1}, // #361
{DBGFIELD("STPQpost") 4, false, false, 781, 2, 264, 2, 122, 1}, // #362
{DBGFIELD("STPQpre") 4, false, false, 781, 2, 264, 2, 122, 1}, // #363
{DBGFIELD("STPSpost") 2, false, false, 162, 2, 264, 2, 122, 1}, // #364
{DBGFIELD("STPSpre") 2, false, false, 162, 2, 264, 2, 122, 1}, // #365
{DBGFIELD("STPWpost") 2, false, false, 253, 2, 264, 2, 122, 1}, // #366
{DBGFIELD("STPWpre") 2, false, false, 253, 2, 264, 2, 122, 1}, // #367
{DBGFIELD("STPXi") 2, false, false, 253, 2, 0, 1, 122, 1}, // #368
{DBGFIELD("STPXpost") 2, false, false, 253, 2, 264, 2, 122, 1}, // #369
{DBGFIELD("STPXpre") 2, false, false, 253, 2, 264, 2, 122, 1}, // #370
{DBGFIELD("STRBBpost") 2, false, false, 253, 2, 264, 2, 113, 1}, // #371
{DBGFIELD("STRBBpre") 2, false, false, 253, 2, 264, 2, 113, 1}, // #372
{DBGFIELD("STRBpost") 2, false, false, 162, 2, 264, 2, 113, 1}, // #373
{DBGFIELD("STRBpre") 2, false, false, 162, 2, 264, 2, 113, 1}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 2, false, false, 162, 2, 264, 2, 113, 1}, // #377
{DBGFIELD("STRDpre") 2, false, false, 162, 2, 264, 2, 113, 1}, // #378
{DBGFIELD("STRHHpost") 2, false, false, 253, 2, 264, 2, 113, 1}, // #379
{DBGFIELD("STRHHpre") 2, false, false, 253, 2, 264, 2, 113, 1}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 2, false, false, 162, 2, 264, 2, 113, 1}, // #383
{DBGFIELD("STRHpre") 2, false, false, 162, 2, 264, 2, 113, 1}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 2, false, false, 162, 2, 264, 2, 113, 1}, // #387
{DBGFIELD("STRQpre") 2, false, false, 162, 2, 264, 2, 113, 1}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 2, false, false, 162, 2, 0, 1, 113, 1}, // #391
{DBGFIELD("STRSpost") 2, false, false, 162, 2, 264, 2, 113, 1}, // #392
{DBGFIELD("STRSpre") 2, false, false, 162, 2, 264, 2, 113, 1}, // #393
{DBGFIELD("STRWpost") 2, false, false, 253, 2, 264, 2, 113, 1}, // #394
{DBGFIELD("STRWpre") 2, false, false, 253, 2, 264, 2, 113, 1}, // #395
{DBGFIELD("STRXpost") 2, false, false, 253, 2, 264, 2, 113, 1}, // #396
{DBGFIELD("STRXpre") 2, false, false, 253, 2, 264, 2, 113, 1}, // #397
{DBGFIELD("STURQi") 2, false, false, 162, 2, 0, 1, 113, 1}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 0, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 2, false, false, 33, 1, 5, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 113, 1, 5, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 2, false, false, 33, 1, 137, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 2, false, false, 33, 1, 10, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 12, 1, 8, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 2, false, false, 33, 1, 8, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 2, false, false, 33, 1, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 2, false, false, 33, 1, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 2, false, false, 33, 1, 21, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 12, 1, 137, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 12, 1, 137, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 12, 1, 1, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false, 33, 1, 1, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 12, 1, 137, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 12, 1, 1, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 33, 1, 1, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 2, false, false, 33, 1, 1, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 33, 1, 273, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 12, 1, 272, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 33, 1, 273, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 12, 1, 275, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false, 33, 1, 270, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 12, 1, 275, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 12, 1, 276, 1, 123, 2}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 12, 1, 275, 1, 116, 2}, // #453
{DBGFIELD("FMLAv4f32") 2, false, false, 33, 1, 269, 1, 118, 2}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 2, false, false, 33, 1, 270, 1, 116, 2}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 12, 1, 276, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 12, 1, 276, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 12, 1, 8, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 10, 1, 8, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 4, false, false, 39, 1, 137, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 12, 1, 5, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false, 12, 1, 137, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 12, 1, 8, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 12, 1, 8, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 12, 1, 276, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 12, 1, 276, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false, 33, 1, 5, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 2, false, false, 33, 1, 1, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false, 33, 1, 8, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 2, false, false, 33, 1, 8, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false, 33, 1, 269, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 33, 1, 8, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 12, 1, 5, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 12, 1, 5, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 12, 1, 1, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 12, 1, 1, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 12, 1, 1, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 12, 1, 1, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 12, 1, 1, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 12, 1, 1, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 12, 1, 1, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 12, 1, 137, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 12, 1, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 12, 1, 137, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 12, 1, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 12, 1, 272, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #527
{DBGFIELD("ADDVv4i32v") 2, false, false, 33, 1, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 2, false, false, 33, 1, 8, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false, 33, 1, 21, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 2, false, false, 33, 1, 5, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 2, false, false, 33, 1, 5, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false, 33, 1, 5, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false, 33, 1, 5, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 33, 1, 5, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 2, false, false, 33, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 2, false, false, 33, 1, 1, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 33, 1, 273, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 2, false, false, 33, 1, 273, 1, 114, 2}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 3, false, false, 33, 1, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 39, 1, 1, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 2, false, false, 33, 1, 273, 1, 114, 2}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 366, 2, 5, 1, 0, 0}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #568
{DBGFIELD("EONWrs_EONXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #571
{DBGFIELD("EORWrs_EORXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false, 10, 1, 5, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 10, 1, 5, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 12, 1, 5, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 10, 1, 5, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 12, 1, 0, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 12, 1, 5, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 12, 1, 137, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 12, 1, 276, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 2, false, false, 33, 1, 5, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 33, 1, 0, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 2, false, false, 33, 1, 5, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 2, false, false, 33, 1, 5, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 2, false, false, 33, 1, 8, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 2, false, false, 33, 1, 269, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 3, false, false, 33, 1, 10, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 3, false, false, 33, 1, 10, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 4, false, false, 39, 1, 21, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 4, false, false, 39, 1, 21, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 5, false, false, 858, 1, 31, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 162, 2, 0, 1, 113, 1}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 2, false, false, 162, 2, 0, 1, 122, 1}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 2, false, false, 162, 2, 0, 1, 113, 1}, // #616
{DBGFIELD("STNPSi") 2, false, false, 162, 2, 0, 1, 122, 1}, // #617
{DBGFIELD("B") 1, false, false, 0, 0, 0, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 0, 0, 0, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 861, 4, 0, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 861, 4, 0, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 861, 4, 0, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 865, 2, 0, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 12, 1, 1, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 12, 1, 137, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 12, 1, 8, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 12, 1, 276, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 10, 1, 0, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 12, 1, 0, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 12, 1, 0, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 2, false, false, 33, 1, 0, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 12, 1, 8, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false, 33, 1, 8, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 97, 1, 0, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 97, 1, 0, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 1, false, false, 99, 1, 277, 2, 112, 1}, // #644
{DBGFIELD("LDPWi_LDPXi") 1, false, false, 99, 1, 277, 2, 112, 1}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 1, false, false, 99, 1, 279, 3, 112, 1}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 99, 1, 137, 1, 112, 1}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 99, 1, 137, 1, 112, 1}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 99, 1, 8, 1, 112, 1}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 99, 1, 8, 1, 112, 1}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 830, 4, 285, 1, 125, 2}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 830, 4, 286, 1, 127, 1}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 830, 4, 271, 1, 125, 2}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 2, false, false, 867, 6, 26, 1, 0, 0}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 2, false, false, 873, 6, 187, 1, 0, 0}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 366, 2, 0, 1, 0, 0}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 367, 1, 0, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 367, 1, 0, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 366, 2, 0, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 826, 2, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 879, 3, 8, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 99, 1, 0, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 0, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 0, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 97, 1, 0, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 861, 4, 0, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 99, 1, 137, 1, 112, 1}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 1, false, false, 99, 1, 277, 2, 112, 1}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 99, 1, 137, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 2, false, false, 882, 5, 137, 1, 0, 0}, // #682
{DBGFIELD("MSR") 2, false, false, 253, 2, 0, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 2, false, false, 253, 2, 0, 1, 122, 1}, // #684
{DBGFIELD("ERET") 3, false, false, 887, 5, 137, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 3, false, false, 892, 3, 137, 1, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 3, false, false, 892, 3, 0, 1, 113, 1}, // #687
{DBGFIELD("STXPW_STXPX") 3, false, false, 892, 3, 0, 1, 122, 1}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 3, false, false, 892, 3, 0, 1, 122, 1}, // #689
{DBGFIELD("STLXPW_STLXPX") 4, false, false, 895, 3, 137, 1, 128, 1}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 4, false, false, 895, 3, 137, 1, 122, 1}, // #691
{DBGFIELD("STPWi") 2, false, false, 253, 2, 0, 1, 122, 1}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 253, 2, 0, 1, 113, 1}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 10, 1, 8, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 12, 1, 272, 1, 114, 2}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 2, false, false, 33, 1, 21, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 12, 1, 8, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 12, 1, 5, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 33, 1, 5, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #715
{DBGFIELD("BICSWrs_BICWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #717
{DBGFIELD("EONWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #719
{DBGFIELD("EORWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #720
{DBGFIELD("EORWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #722
{DBGFIELD("ORNWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #723
{DBGFIELD("ORRWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #724
{DBGFIELD("ORRWri") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 12, 1, 1, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 12, 1, 1, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 12, 1, 1, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 12, 1, 8, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false, 33, 1, 8, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 12, 1, 276, 1, 118, 2}, // #740
{DBGFIELD("FMLSv4f32") 2, false, false, 33, 1, 269, 1, 118, 2}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 2, false, false, 33, 1, 270, 1, 116, 2}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 12, 1, 276, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 12, 1, 137, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 12, 1, 137, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 99, 1, 137, 1, 112, 1}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 1, false, false, 99, 1, 277, 2, 112, 1}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #750
{DBGFIELD("MRS") 1, false, false, 99, 1, 137, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 861, 4, 0, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 12, 1, 137, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 12, 1, 5, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 12, 1, 5, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 12, 1, 5, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 12, 1, 5, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 861, 4, 0, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #764
{DBGFIELD("ANDXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #765
{DBGFIELD("BICWrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #766
{DBGFIELD("BICXrs") 1, false, false, 366, 2, 5, 1, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 12, 1, 5, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 12, 1, 0, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 33, 1, 0, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 12, 1, 137, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 33, 1, 137, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 12, 1, 137, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 2, false, false, 33, 1, 21, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 2, false, false, 33, 1, 21, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 12, 1, 5, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false, 33, 1, 5, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 12, 1, 8, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 12, 1, 276, 1, 118, 2}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 12, 1, 276, 1, 118, 2}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 10, 1, 5, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 859, 2, 1, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #824
{DBGFIELD("FMULX16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #825
{DBGFIELD("FNEGHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #829
{DBGFIELD("FMOVHi") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #830
{DBGFIELD("FMOVHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 33, 1, 273, 1, 114, 2}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 2, false, false, 33, 1, 273, 1, 114, 2}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 2, false, false, 33, 1, 273, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 2, false, false, 33, 1, 273, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false, 33, 1, 1, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 12, 1, 1, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 12, 1, 0, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 2, false, false, 33, 1, 137, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 2, false, false, 33, 1, 1, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 2, false, false, 819, 3, 10, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 861, 4, 0, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 366, 2, 5, 1, 0, 0}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #861
{DBGFIELD("CSELWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #866
{DBGFIELD("BFMWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 366, 2, 5, 1, 0, 0}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #869
{DBGFIELD("RBITWr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 366, 2, 1, 1, 0, 0}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 3, false, false, 892, 3, 137, 1, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 0, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 861, 4, 0, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 861, 4, 0, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 861, 4, 0, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 861, 4, 0, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #886
{DBGFIELD("ADCXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 366, 2, 5, 1, 0, 0}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 830, 4, 1, 1, 0, 0}, // #891
{DBGFIELD("LDNPWi") 1, false, false, 99, 1, 277, 2, 112, 1}, // #892
{DBGFIELD("LDPWi") 1, false, false, 99, 1, 277, 2, 112, 1}, // #893
{DBGFIELD("LDRWl") 1, false, false, 99, 1, 137, 1, 112, 1}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #901
{DBGFIELD("LDPWpre") 1, false, false, 99, 1, 279, 3, 112, 1}, // #902
{DBGFIELD("LDRWpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #903
{DBGFIELD("LDRXpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #904
{DBGFIELD("LDRSBWpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #905
{DBGFIELD("LDRSBXpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #906
{DBGFIELD("LDRSBWpost") 1, false, false, 99, 1, 262, 2, 112, 1}, // #907
{DBGFIELD("LDRSBXpost") 1, false, false, 99, 1, 262, 2, 112, 1}, // #908
{DBGFIELD("LDRSHWpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #909
{DBGFIELD("LDRSHXpre") 1, false, false, 99, 1, 262, 2, 112, 1}, // #910
{DBGFIELD("LDRSHWpost") 1, false, false, 99, 1, 262, 2, 112, 1}, // #911
{DBGFIELD("LDRSHXpost") 1, false, false, 99, 1, 262, 2, 112, 1}, // #912
{DBGFIELD("LDRBBpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #913
{DBGFIELD("LDRBBpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #914
{DBGFIELD("LDRHHpre") 1, false, false, 99, 1, 260, 2, 112, 1}, // #915
{DBGFIELD("LDRHHpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #916
{DBGFIELD("LDPWpost") 1, false, false, 99, 1, 279, 3, 112, 1}, // #917
{DBGFIELD("LDPXpost") 1, false, false, 99, 1, 279, 3, 112, 1}, // #918
{DBGFIELD("LDRWpost") 1, false, false, 99, 1, 260, 2, 112, 1}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #925
{DBGFIELD("LDURXi") 1, false, false, 99, 1, 137, 1, 112, 1}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 99, 1, 8, 1, 112, 1}, // #930
{DBGFIELD("PRFMl") 1, false, false, 97, 1, 0, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 2, false, false, 162, 2, 0, 1, 113, 1}, // #932
{DBGFIELD("STURBBi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #933
{DBGFIELD("STURDi") 2, false, false, 162, 2, 0, 1, 113, 1}, // #934
{DBGFIELD("STURHi") 2, false, false, 162, 2, 0, 1, 113, 1}, // #935
{DBGFIELD("STURHHi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #936
{DBGFIELD("STURWi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #937
{DBGFIELD("STTRBi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #938
{DBGFIELD("STTRHi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #939
{DBGFIELD("STTRWi") 2, false, false, 253, 2, 0, 1, 113, 1}, // #940
{DBGFIELD("STRBui") 2, false, false, 162, 2, 0, 1, 113, 1}, // #941
{DBGFIELD("STRDui") 2, false, false, 162, 2, 0, 1, 113, 1}, // #942
{DBGFIELD("STRHui") 2, false, false, 162, 2, 0, 1, 113, 1}, // #943
{DBGFIELD("STRXui") 2, false, false, 253, 2, 0, 1, 113, 1}, // #944
{DBGFIELD("STRWui") 2, false, false, 253, 2, 0, 1, 113, 1}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false, 33, 1, 137, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false, 33, 1, 137, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 2, false, false, 33, 1, 137, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 12, 1, 0, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 12, 1, 5, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 12, 1, 5, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 3, false, false, 892, 3, 137, 1, 0, 0}, // #980
{DBGFIELD("LDCLRX") 3, false, false, 892, 3, 137, 1, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 3, false, false, 892, 3, 137, 1, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 3, false, false, 892, 3, 137, 1, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 3, false, false, 892, 3, 137, 1, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 3, false, false, 892, 3, 137, 1, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 1, false, false, 366, 2, 5, 1, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 2, false, false, 826, 2, 1, 1, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 1, false, false, 99, 1, 137, 1, 112, 1}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 2, false, false, 879, 3, 8, 1, 112, 1}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 1, false, false, 99, 1, 8, 1, 112, 1}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 2, false, false, 879, 3, 10, 1, 112, 1}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 2, false, false, 162, 2, 0, 1, 113, 1}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 3, false, false, 787, 4, 0, 1, 113, 1}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 2, false, false, 253, 2, 0, 1, 113, 1}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 3, false, false, 898, 4, 0, 1, 113, 1}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 5, false, false, 791, 4, 0, 1, 113, 1}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 6, false, false, 902, 4, 0, 1, 113, 1}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 1, false, false, 0, 0, 0, 1, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 1, false, false, 367, 1, 0, 1, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 1, false, false, 366, 2, 0, 1, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 1, false, false, 10, 1, 5, 1, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 1, false, false, 97, 1, 137, 1, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 2, false, false, 899, 3, 8, 1, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // FalkorModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc KryoModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 6, 1, 21, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 6, 1, 2, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 6, 1, 2, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 2, false, false, 4, 1, 3, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 2, false, false, 4, 1, 4, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 1, false, false, 6, 1, 21, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 6, 1, 2, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 6, 1, 149, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 6, 1, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false, 906, 2, 1, 1, 0, 1}, // #14
{DBGFIELD("WriteF") 2, false, false, 4, 1, 137, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 6, 1, 1, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 5, 2, 8, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 2, false, false, 908, 4, 201, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 2, false, false, 3, 2, 21, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 6, 1, 21, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 6, 1, 21, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 7, 1, 8, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 7, 1, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 2, false, false, 7, 1, 11, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 912, 2, 98, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 2, false, false, 912, 2, 99, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false, 7, 1, 8, 1, 0, 1}, // #28
{DBGFIELD("WriteLDAdr") 2, false, false, 912, 2, 117, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 5, 2, 287, 1, 4, 3}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 5, 2, 17, 1, 4, 3}, // #31
{DBGFIELD("WriteImm") 1, false, false, 6, 1, 18, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 2, false, false, 4, 1, 201, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 908, 4, 288, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 908, 4, 289, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 5, 2, 17, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 7, 1, 8, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 2, false, false, 912, 2, 131, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 2, false, false, 912, 2, 131, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 2, false, false, 25, 1, 26, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false, 7, 1, 8, 1, 0, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 914, 2, 290, 4, 0, 0}, // #42
{DBGFIELD("COPY") 1, false, false, 6, 1, 2, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 7, 1, 137, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 7, 1, 137, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 7, 1, 137, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 2, false, false, 25, 1, 137, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 567, 1, 137, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 5, false, false, 916, 2, 137, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 3, false, false, 918, 2, 294, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 3, false, false, 918, 2, 294, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 3, false, false, 918, 2, 294, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 5, false, false, 922, 2, 294, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 916, 2, 294, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 7, 1, 137, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 2, false, false, 25, 1, 137, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false, 7, 1, 137, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 2, false, false, 25, 1, 137, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 3, false, false, 918, 2, 294, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 5, false, false, 918, 2, 294, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 2, false, false, 25, 1, 137, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 567, 1, 137, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 10, false, false, 924, 3, 8, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 567, 1, 137, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 5, false, false, 922, 2, 294, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 12, false, false, 927, 3, 99, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 5, false, false, 922, 2, 294, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 2, false, false, 25, 1, 137, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 4, false, false, 916, 1, 137, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 12, false, false, 930, 3, 8, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 4, false, false, 916, 1, 137, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 6, false, false, 933, 2, 294, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 14, false, false, 935, 3, 99, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 6, false, false, 933, 2, 294, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 938, 3, 0, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 2, false, false, 938, 3, 0, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 5, false, false, 941, 3, 5, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 6, false, false, 944, 3, 0, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 8, false, false, 947, 3, 0, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 950, 4, 21, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 4, false, false, 950, 4, 21, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 5, false, false, 941, 3, 166, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 8, false, false, 954, 3, 21, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 10, false, false, 957, 3, 166, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 938, 3, 0, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 938, 3, 0, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 938, 3, 0, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 960, 3, 21, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 960, 3, 21, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 4, false, false, 966, 3, 0, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 12, false, false, 969, 4, 5, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 6, false, false, 944, 3, 0, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 14, false, false, 973, 4, 21, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 8, false, false, 954, 3, 21, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 4, false, false, 966, 3, 0, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 16, false, false, 977, 4, 5, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 8, false, false, 947, 3, 0, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 18, false, false, 981, 4, 21, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 10, false, false, 957, 3, 166, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 2, false, false, 3, 2, 21, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 6, 1, 21, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 3, false, false, 908, 4, 117, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 3, false, false, 908, 4, 211, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 985, 4, 211, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 4, false, false, 985, 4, 211, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 2, false, false, 3, 2, 10, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 2, false, false, 3, 2, 21, 1, 0, 0}, // #116
{DBGFIELD("BL") 1, false, false, 6, 1, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 1, false, false, 6, 1, 5, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 5, 2, 10, 1, 0, 0}, // #120
{DBGFIELD("EXTRWrri") 2, false, false, 906, 2, 1, 1, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 2, false, false, 906, 2, 1, 1, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 5, 2, 5, 1, 0, 0}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 4, false, false, 989, 2, 137, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 6, 1, 21, 1, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 2, false, false, 3, 2, 1, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 2, false, false, 3, 2, 1, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 3, false, false, 991, 3, 1, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 2, false, false, 3, 2, 1, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 3, false, false, 991, 3, 8, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 6, 1, 137, 1, 1, 2}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 2, false, false, 994, 3, 8, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 4, false, false, 950, 3, 99, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 2, false, false, 7, 1, 137, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 4, false, false, 918, 2, 294, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 2, false, false, 7, 1, 137, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 4, false, false, 918, 2, 294, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 2, false, false, 7, 1, 137, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 4, false, false, 918, 2, 294, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 3, false, false, 7, 1, 137, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 5, false, false, 918, 2, 294, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 5, false, false, 25, 1, 137, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 7, false, false, 920, 2, 294, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 6, false, false, 25, 1, 137, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 8, false, false, 920, 2, 294, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 997, 3, 8, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 5, false, false, 1000, 3, 99, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 3, false, false, 997, 3, 8, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 5, false, false, 1000, 3, 99, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false, 7, 1, 137, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 5, false, false, 918, 2, 294, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 3, false, false, 7, 1, 137, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 5, false, false, 918, 2, 294, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 2, false, false, 25, 1, 137, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 4, false, false, 920, 2, 294, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 4, false, false, 1003, 3, 8, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 6, false, false, 1006, 3, 99, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 4, false, false, 1003, 3, 8, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 6, false, false, 1006, 3, 99, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 5, false, false, 25, 1, 137, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 7, false, false, 920, 2, 294, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 5, false, false, 25, 1, 137, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 7, false, false, 920, 2, 294, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 567, 1, 137, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 922, 2, 294, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 8, false, false, 1009, 3, 8, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 10, false, false, 1012, 3, 99, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 5, false, false, 1015, 3, 8, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 7, false, false, 1018, 3, 99, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 5, false, false, 1015, 3, 8, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 7, false, false, 1018, 3, 99, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 6, false, false, 25, 1, 137, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 8, false, false, 920, 2, 294, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 6, false, false, 25, 1, 137, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 8, false, false, 920, 2, 294, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 4, false, false, 916, 1, 137, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 6, false, false, 933, 2, 294, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 10, false, false, 1021, 3, 8, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 12, false, false, 1024, 3, 99, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 938, 3, 0, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 950, 4, 21, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 2, false, false, 938, 3, 0, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 4, false, false, 950, 4, 21, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 2, false, false, 938, 3, 0, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 4, false, false, 950, 4, 21, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 5, false, false, 941, 3, 5, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 5, false, false, 941, 3, 166, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 5, false, false, 941, 3, 5, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 5, false, false, 941, 3, 166, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 938, 3, 0, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 960, 3, 21, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 938, 3, 0, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 4, false, false, 966, 3, 0, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 4, false, false, 966, 3, 0, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 6, false, false, 1027, 4, 5, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 8, false, false, 1031, 4, 21, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 4, false, false, 966, 3, 0, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 4, false, false, 966, 3, 0, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 6, false, false, 963, 3, 21, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 8, false, false, 1035, 4, 5, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 10, false, false, 1039, 4, 21, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 3, false, false, 4, 1, 8, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 4, false, false, 763, 1, 8, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 4, false, false, 763, 1, 137, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 2, false, false, 5, 2, 8, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 4, false, false, 769, 1, 10, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 4, false, false, 951, 2, 21, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 2, false, false, 6, 1, 137, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 2, false, false, 5, 2, 8, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 2, false, false, 5, 2, 8, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 2, false, false, 6, 1, 1, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 4, 1, 1, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 2, false, false, 5, 2, 8, 1, 0, 0}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 2, false, false, 3, 2, 8, 1, 0, 0}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 3, 2, 8, 1, 0, 0}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 2, false, false, 5, 2, 8, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false, 4, 1, 137, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 2, false, false, 3, 2, 137, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false, 5, 2, 137, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 2, false, false, 4, 1, 137, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 2, false, false, 6, 1, 137, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 6, 1, 1, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 4, 1, 1, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 4, false, false, 1043, 2, 10, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 2, false, false, 5, 2, 8, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 2, false, false, 3, 2, 8, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 3, false, false, 908, 4, 117, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 3, false, false, 908, 4, 201, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 4, false, false, 985, 4, 226, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 4, false, false, 985, 4, 296, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 2, false, false, 6, 1, 1, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false, 6, 1, 21, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 2, false, false, 5, 2, 21, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 2, false, false, 3, 2, 10, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 2, false, false, 5, 2, 21, 1, 0, 0}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 2, false, false, 3, 2, 10, 1, 0, 0}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 3, 2, 5, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 6, 1, 21, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 3, 2, 5, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 2, false, false, 6, 1, 137, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 2, false, false, 4, 1, 137, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 2, false, false, 5, 2, 21, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 2, false, false, 5, 2, 21, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 2, false, false, 3, 2, 21, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 3, false, false, 3, 2, 1, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 5, false, false, 1016, 2, 137, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 7, false, false, 925, 2, 8, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 9, false, false, 931, 2, 8, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 4, false, false, 1016, 2, 1, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 8, false, false, 931, 2, 137, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 13, false, false, 1045, 2, 10, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 17, false, false, 1047, 2, 10, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false, 6, 1, 5, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false, 5, 2, 5, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 3, 2, 5, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 2, false, false, 6, 1, 137, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 2, false, false, 5, 2, 21, 1, 0, 0}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 5, 2, 8, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 5, 2, 8, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 3, false, false, 908, 4, 226, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 3, false, false, 908, 4, 201, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 4, false, false, 7, 1, 297, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 3, false, false, 25, 1, 297, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 4, false, false, 7, 1, 297, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 4, false, false, 7, 1, 297, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 6, false, false, 918, 2, 299, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 6, false, false, 918, 2, 299, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 3, false, false, 25, 1, 297, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 5, false, false, 920, 2, 299, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 5, false, false, 920, 2, 299, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 2, false, false, 7, 1, 11, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 4, false, false, 918, 2, 98, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 4, false, false, 918, 2, 98, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 4, false, false, 7, 1, 297, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 6, false, false, 918, 2, 299, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 6, false, false, 918, 2, 299, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 4, false, false, 918, 2, 294, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 4, false, false, 918, 2, 294, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 3, false, false, 994, 3, 8, 1, 0, 0}, // #318
{DBGFIELD("LDRBroX") 3, false, false, 994, 3, 8, 1, 0, 0}, // #319
{DBGFIELD("LDRBui") 2, false, false, 7, 1, 137, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 2, false, false, 7, 1, 137, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 4, false, false, 918, 2, 294, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 4, false, false, 918, 2, 294, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 3, false, false, 994, 3, 8, 1, 0, 0}, // #324
{DBGFIELD("LDRDroX") 3, false, false, 994, 3, 8, 1, 0, 0}, // #325
{DBGFIELD("LDRDui") 2, false, false, 7, 1, 137, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #328
{DBGFIELD("LDRHpost") 4, false, false, 918, 2, 294, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 4, false, false, 918, 2, 294, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 3, false, false, 994, 3, 8, 1, 0, 0}, // #331
{DBGFIELD("LDRHroX") 3, false, false, 994, 3, 8, 1, 0, 0}, // #332
{DBGFIELD("LDRHui") 2, false, false, 7, 1, 137, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 7, 1, 137, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 3, false, false, 918, 2, 294, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #337
{DBGFIELD("LDRQroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #338
{DBGFIELD("LDRQui") 1, false, false, 7, 1, 137, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 2, false, false, 994, 3, 10, 1, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 2, false, false, 994, 3, 10, 1, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 2, false, false, 994, 3, 10, 1, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 2, false, false, 994, 3, 10, 1, 0, 0}, // #343
{DBGFIELD("LDRSl") 2, false, false, 7, 1, 137, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 4, false, false, 918, 2, 294, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 4, false, false, 918, 2, 294, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 3, false, false, 994, 3, 8, 1, 0, 0}, // #347
{DBGFIELD("LDRSroX") 3, false, false, 994, 3, 8, 1, 0, 0}, // #348
{DBGFIELD("LDRSui") 2, false, false, 7, 1, 137, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 2, false, false, 7, 1, 137, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 2, false, false, 7, 1, 137, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 2, false, false, 7, 1, 137, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 2, false, false, 7, 1, 137, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 4, false, false, 966, 3, 0, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 4, false, false, 966, 3, 0, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 6, false, false, 1049, 4, 21, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 6, false, false, 1049, 4, 21, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #375
{DBGFIELD("STRBroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #376
{DBGFIELD("STRDpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #381
{DBGFIELD("STRHHroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #382
{DBGFIELD("STRHpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #385
{DBGFIELD("STRHroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #386
{DBGFIELD("STRQpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 6, false, false, 1049, 4, 1, 1, 0, 0}, // #389
{DBGFIELD("STRQroX") 6, false, false, 1049, 4, 1, 1, 0, 0}, // #390
{DBGFIELD("STRQui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 4, false, false, 950, 4, 21, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 4, false, false, 950, 4, 21, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 6, 1, 5, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 2, false, false, 4, 1, 5, 1, 0, 0}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 0, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 2, false, false, 4, 1, 5, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 3, 2, 5, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 2, false, false, 4, 1, 137, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 3, false, false, 906, 2, 10, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 2, false, false, 5, 2, 8, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 3, false, false, 4, 1, 8, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 2, false, false, 4, 1, 137, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 2, false, false, 4, 1, 137, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 906, 2, 21, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 2, false, false, 6, 1, 137, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 2, false, false, 6, 1, 137, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 6, 1, 21, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 2, false, false, 6, 1, 1, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false, 4, 1, 1, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 2, false, false, 6, 1, 137, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 6, 1, 1, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 4, 1, 1, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 2, false, false, 6, 1, 1, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 2, false, false, 4, 1, 1, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 2, false, false, 4, 1, 137, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 2, false, false, 3, 2, 137, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 2, false, false, 3, 2, 8, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 2, false, false, 5, 2, 8, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 2, false, false, 5, 2, 21, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 2, false, false, 3, 2, 21, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 2, false, false, 5, 2, 21, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 2, false, false, 5, 2, 10, 1, 0, 0}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 2, false, false, 5, 2, 21, 1, 0, 0}, // #453
{DBGFIELD("FMLAv4f32") 2, false, false, 3, 2, 10, 1, 0, 0}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 2, false, false, 3, 2, 21, 1, 0, 0}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 2, false, false, 5, 2, 8, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 2, false, false, 5, 2, 8, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 2, false, false, 3, 2, 1, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 4, false, false, 989, 2, 137, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 2, false, false, 6, 1, 5, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false, 6, 1, 137, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 2, false, false, 5, 2, 8, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 2, false, false, 5, 2, 8, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false, 4, 1, 5, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 4, 1, 1, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 2, false, false, 3, 2, 21, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 4, false, false, 1043, 2, 10, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 2, false, false, 6, 1, 5, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 2, false, false, 6, 1, 5, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 2, false, false, 6, 1, 1, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 2, false, false, 6, 1, 1, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 2, false, false, 6, 1, 1, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 2, false, false, 6, 1, 1, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 2, false, false, 6, 1, 1, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 2, false, false, 6, 1, 1, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 2, false, false, 6, 1, 1, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 2, false, false, 5, 2, 137, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 2, false, false, 5, 2, 137, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 2, false, false, 6, 1, 137, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 2, false, false, 6, 1, 137, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 2, false, false, 6, 1, 137, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 2, false, false, 5, 2, 137, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 2, false, false, 5, 2, 8, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 6, 1, 21, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 3, false, false, 4, 1, 137, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 906, 2, 8, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 2, false, false, 4, 1, 1, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false, 4, 1, 5, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 2, false, false, 4, 1, 1, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 2, false, false, 4, 1, 1, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 2, false, false, 4, 1, 137, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 3, 2, 137, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 6, 1, 21, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 4, false, false, 769, 1, 137, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 763, 1, 1, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 2, false, false, 5, 2, 8, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 6, 1, 0, 1, 0, 1}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 6, 1, 0, 1, 1, 2}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 6, 1, 5, 1, 0, 1}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 2, false, false, 4, 1, 1, 1, 1, 2}, // #560
{DBGFIELD("ADDXrr") 2, false, false, 4, 1, 1, 1, 1, 2}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 2, false, false, 4, 1, 5, 1, 0, 0}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #568
{DBGFIELD("EONWrs_EONXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 2, false, false, 4, 1, 5, 1, 0, 0}, // #570
{DBGFIELD("EORWrr_EORXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #571
{DBGFIELD("EORWrs_EORXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 2, false, false, 4, 1, 5, 1, 0, 0}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 2, false, false, 4, 1, 5, 1, 0, 0}, // #575
{DBGFIELD("ORRWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 6, 1, 5, 1, 0, 1}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 2, false, false, 6, 1, 5, 1, 1, 2}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 2, false, false, 4, 1, 1, 1, 1, 2}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 2, false, false, 4, 1, 1, 1, 1, 2}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 5, 2, 5, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false, 5, 2, 5, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 3, 2, 5, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false, 3, 2, 5, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 5, 2, 5, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 2, false, false, 5, 2, 5, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 2, false, false, 5, 2, 5, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 2, false, false, 6, 1, 5, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 2, false, false, 5, 2, 5, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 2, false, false, 4, 1, 5, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 2, false, false, 6, 1, 137, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 2, false, false, 3, 2, 5, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 2, false, false, 4, 1, 5, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 2, false, false, 3, 2, 5, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 4, false, false, 1004, 2, 1, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 2, false, false, 3, 2, 10, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 6, false, false, 925, 2, 1, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 6, false, false, 1057, 2, 137, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 11, false, false, 1059, 2, 8, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 8, false, false, 1061, 2, 137, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 15, false, false, 1063, 2, 8, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #614
{DBGFIELD("STPSi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 6, 1, 5, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 6, 1, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 6, 1, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 6, 1, 5, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 6, 1, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 6, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 6, 1, 0, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 6, 1, 0, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 2, false, false, 5, 2, 8, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 2, false, false, 6, 1, 1, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 2, false, false, 5, 2, 8, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 2, false, false, 5, 2, 8, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 2, false, false, 5, 2, 10, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 2, false, false, 6, 1, 5, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 2, false, false, 6, 1, 5, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 2, false, false, 4, 1, 137, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 5, 2, 8, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 2, false, false, 3, 2, 8, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 7, 1, 0, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 7, 1, 0, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 2, false, false, 7, 1, 297, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 2, false, false, 7, 1, 297, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 4, false, false, 918, 2, 299, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 7, 1, 137, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 7, 1, 137, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 7, 1, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 3, false, false, 918, 2, 99, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 2, false, false, 994, 3, 10, 1, 0, 0}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 7, 1, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 5, 2, 5, 1, 0, 0}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 5, 2, 5, 1, 0, 0}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 5, 2, 10, 1, 0, 0}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 2, false, false, 908, 4, 5, 1, 0, 0}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 2, false, false, 908, 4, 5, 1, 0, 0}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 5, 2, 5, 1, 0, 0}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 6, 1, 5, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 6, 1, 5, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 6, 1, 18, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 4, 1, 201, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 912, 2, 117, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 7, 1, 0, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 0, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 0, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 7, 1, 0, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 5, 2, 0, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 7, 1, 137, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 7, 1, 297, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 2, false, false, 994, 3, 137, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 6, 1, 0, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #684
{DBGFIELD("ERET") 3, false, false, 1065, 3, 137, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 3, false, false, 1068, 3, 0, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 2, false, false, 938, 3, 137, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 938, 3, 137, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 3, false, false, 1068, 3, 137, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 3, false, false, 1068, 3, 137, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 2, false, false, 5, 2, 8, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 2, false, false, 3, 2, 8, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 2, false, false, 906, 2, 21, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 2, false, false, 6, 1, 137, 1, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 2, false, false, 6, 1, 137, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 1, false, false, 6, 1, 5, 1, 1, 2}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 1, false, false, 6, 1, 5, 1, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 2, false, false, 6, 1, 5, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 2, false, false, 6, 1, 5, 1, 0, 0}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #713
{DBGFIELD("ANDWri") 2, false, false, 6, 1, 5, 1, 0, 0}, // #714
{DBGFIELD("BICSWrr_BICWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #715
{DBGFIELD("BICSWrs_BICWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #716
{DBGFIELD("EONWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #717
{DBGFIELD("EONWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #718
{DBGFIELD("EORWri") 2, false, false, 6, 1, 5, 1, 0, 0}, // #719
{DBGFIELD("EORWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #720
{DBGFIELD("EORWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #721
{DBGFIELD("ORNWrr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #722
{DBGFIELD("ORNWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #723
{DBGFIELD("ORRWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #724
{DBGFIELD("ORRWri") 2, false, false, 6, 1, 5, 1, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 6, 1, 1, 1, 0, 1}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 5, 2, 5, 1, 1, 2}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 2, false, false, 6, 1, 1, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 2, false, false, 6, 1, 1, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 2, false, false, 6, 1, 1, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 2, false, false, 5, 2, 8, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #740
{DBGFIELD("FMLSv4f32") 2, false, false, 3, 2, 10, 1, 0, 0}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 2, false, false, 3, 2, 21, 1, 0, 0}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 2, false, false, 5, 2, 10, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 2, false, false, 6, 1, 137, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 2, false, false, 6, 1, 137, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 2, false, false, 25, 1, 137, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 3, false, false, 25, 1, 297, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 2, false, false, 4, 1, 1, 1, 0, 0}, // #750
{DBGFIELD("MRS") 1, false, false, 6, 1, 1, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 5, 2, 0, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 6, 1, 1, 1, 0, 0}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 2, false, false, 6, 1, 5, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 2, false, false, 6, 1, 137, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 2, false, false, 4, 1, 5, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 2, false, false, 3, 2, 5, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 2, false, false, 906, 2, 5, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 2, false, false, 3, 2, 5, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false, 5, 2, 5, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 6, 1, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #763
{DBGFIELD("ANDWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #764
{DBGFIELD("ANDXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #765
{DBGFIELD("BICWrs") 2, false, false, 6, 1, 5, 1, 0, 0}, // #766
{DBGFIELD("BICXrs") 2, false, false, 4, 1, 5, 1, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 6, 1, 5, 1, 0, 1}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 2, false, false, 994, 3, 10, 1, 0, 0}, // #771
{DBGFIELD("PRFMroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 2, false, false, 6, 1, 5, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 5, 2, 8, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 6, 1, 21, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 2, false, false, 6, 1, 5, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 4, 1, 5, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 2, false, false, 4, 1, 1, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 2, false, false, 6, 1, 1, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 2, false, false, 5, 2, 137, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 2, false, false, 3, 2, 137, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 2, false, false, 6, 1, 137, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 2, false, false, 906, 2, 21, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 2, false, false, 6, 1, 137, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 2, false, false, 6, 1, 5, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false, 4, 1, 5, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 6, 1, 21, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 2, false, false, 5, 2, 8, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 6, 1, 21, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 2, false, false, 5, 2, 10, 1, 0, 0}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 6, 1, 21, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 5, 2, 5, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 5, 2, 5, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 6, 1, 21, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 6, 1, 1, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 6, 1, 1, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 2, false, false, 908, 4, 201, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 2, false, false, 3, 2, 21, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 2, false, false, 908, 4, 201, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 5, 2, 8, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 6, 1, 21, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 2, false, false, 4, 1, 137, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 6, 1, 21, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 6, 1, 21, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 2, false, false, 3, 2, 8, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 2, false, false, 3, 2, 8, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 2, false, false, 3, 2, 8, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 6, 1, 21, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false, 6, 1, 1, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 2, false, false, 6, 1, 5, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 2, false, false, 4, 1, 137, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 2, false, false, 4, 1, 1, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 3, false, false, 991, 3, 8, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 6, 1, 5, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #852
{DBGFIELD("ADDWrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #853
{DBGFIELD("SUBWrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #854
{DBGFIELD("ADDSWrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #855
{DBGFIELD("SUBSWrs") 2, false, false, 4, 1, 1, 1, 1, 2}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 2, false, false, 4, 1, 1, 1, 1, 2}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 2, false, false, 4, 1, 1, 1, 1, 2}, // #858
{DBGFIELD("ADDWri") 1, false, false, 6, 1, 5, 1, 0, 1}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 6, 1, 0, 1, 0, 1}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 6, 1, 0, 1, 1, 2}, // #861
{DBGFIELD("CSELWr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 5, 2, 5, 1, 1, 2}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #865
{DBGFIELD("LSLVWr") 2, false, false, 4, 1, 1, 1, 0, 0}, // #866
{DBGFIELD("BFMWri") 1, false, false, 5, 2, 5, 1, 0, 0}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 5, 2, 5, 1, 0, 0}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 6, 1, 1, 1, 0, 1}, // #869
{DBGFIELD("RBITWr") 1, false, false, 6, 1, 1, 1, 0, 0}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 5, 2, 5, 1, 0, 0}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 0, 0, 0, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 6, 1, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 6, 1, 5, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 6, 1, 5, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 6, 1, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #886
{DBGFIELD("ADCXr") 1, false, false, 6, 1, 5, 1, 1, 2}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 6, 1, 5, 1, 0, 0}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 1, false, false, 6, 1, 137, 1, 1, 2}, // #891
{DBGFIELD("LDNPWi") 2, false, false, 7, 1, 297, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 2, false, false, 7, 1, 297, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 7, 1, 137, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 4, false, false, 918, 2, 299, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 3, false, false, 918, 2, 99, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 3, false, false, 918, 2, 99, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 3, false, false, 918, 2, 99, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 3, false, false, 918, 2, 99, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 3, false, false, 918, 2, 99, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 3, false, false, 918, 2, 99, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 3, false, false, 918, 2, 99, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 3, false, false, 918, 2, 99, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 3, false, false, 918, 2, 294, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 3, false, false, 918, 2, 294, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 3, false, false, 918, 2, 294, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 4, false, false, 918, 2, 299, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 4, false, false, 918, 2, 299, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 3, false, false, 918, 2, 294, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #920
{DBGFIELD("LDRXroW") 2, false, false, 994, 3, 8, 1, 0, 0}, // #921
{DBGFIELD("LDRWroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #922
{DBGFIELD("LDRXroX") 2, false, false, 994, 3, 8, 1, 0, 0}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 7, 1, 137, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 7, 1, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 7, 1, 0, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 2, false, false, 938, 3, 0, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 2, false, false, 938, 3, 0, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #946
{DBGFIELD("STRBBroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #947
{DBGFIELD("STRDroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #948
{DBGFIELD("STRDroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #949
{DBGFIELD("STRWroW") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #950
{DBGFIELD("STRWroX") 3, false, false, 1053, 4, 5, 1, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 2, false, false, 4, 1, 137, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 6, 1, 21, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 2, false, false, 4, 1, 137, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 2, false, false, 4, 1, 137, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 6, 1, 21, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 2, false, false, 6, 1, 5, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 2, false, false, 5, 2, 5, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 2, false, false, 4, 1, 5, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 7, 1, 8, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 7, 1, 8, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // KryoModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc ThunderXT8XModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 1, false, false, 2, 1, 21, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 1, false, false, 7, 1, 2, 1, 18, 18}, // #2
{DBGFIELD("WriteI_ReadI") 1, false, false, 7, 1, 2, 1, 18, 9}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #5
{DBGFIELD("WriteAdr") 1, false, false, 0, 0, 0, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 1, false, false, 7, 1, 2, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 1, false, false, 7, 1, 149, 1, 18, 9}, // #8
{DBGFIELD("WriteSys") 1, false, false, 10, 1, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 1, false, false, 10, 1, 5, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 1, false, false, 10, 1, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 10, 1, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 1, false, false, 7, 1, 1, 1, 3, 1}, // #14
{DBGFIELD("WriteF") 1, false, false, 2, 1, 21, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 1, false, false, 2, 1, 21, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 1, false, false, 2, 1, 21, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 1, false, false, 97, 1, 21, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 1, false, false, 2, 1, 21, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 1, false, false, 2, 1, 21, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 10, 1, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 1, false, false, 24, 1, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 1, false, false, 24, 1, 137, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 3, false, false, 98, 1, 303, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 2, false, false, 24, 1, 304, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 1, false, false, 24, 1, 137, 1, 129, 1}, // #28
{DBGFIELD("WriteLDAdr") 2, false, false, 24, 1, 137, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 1, false, false, 6, 1, 16, 1, 36, 27}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 1, false, false, 6, 1, 154, 1, 36, 27}, // #31
{DBGFIELD("WriteImm") 1, false, false, 7, 1, 18, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 2, false, false, 0, 0, 0, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 1, false, false, 1072, 1, 207, 1, 36, 18}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 1, false, false, 326, 1, 306, 1, 36, 18}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 1, false, false, 6, 1, 154, 1, 36, 18}, // #36
{DBGFIELD("WriteSTP") 1, false, false, 24, 1, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 2, false, false, 24, 1, 265, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 2, false, false, 24, 1, 265, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 2, false, false, 98, 1, 8, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 1, false, false, 24, 1, 5, 1, 129, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 4, false, false, 100, 3, 307, 4, 0, 0}, // #42
{DBGFIELD("COPY") 1, false, false, 7, 1, 2, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 1, false, false, 24, 1, 21, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 1, false, false, 24, 1, 21, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 1, false, false, 24, 1, 21, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 1, false, false, 232, 1, 187, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 1, false, false, 1073, 1, 201, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 1, false, false, 233, 1, 231, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 2, false, false, 1073, 1, 313, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 1, false, false, 24, 1, 21, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 1, false, false, 24, 1, 21, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 1, false, false, 232, 1, 187, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 1, false, false, 233, 1, 231, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 1, false, false, 232, 1, 187, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 1, false, false, 232, 1, 187, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 1, false, false, 233, 1, 231, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 1, false, false, 1073, 1, 201, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 2, false, false, 1073, 1, 313, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 1, false, false, 232, 1, 187, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 1, false, false, 232, 1, 187, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 1, false, false, 233, 1, 231, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 1, false, false, 233, 1, 231, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 1, false, false, 24, 1, 5, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 24, 1, 5, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 24, 1, 5, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 233, 1, 117, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 233, 1, 117, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 1, false, false, 24, 1, 5, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 1, false, false, 24, 1, 5, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 1, false, false, 233, 1, 117, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 1, false, false, 233, 1, 117, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 1, false, false, 1074, 1, 187, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 1, false, false, 233, 1, 117, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 2, false, false, 1074, 1, 311, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 1, false, false, 233, 1, 117, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 1, false, false, 1074, 1, 187, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 1, false, false, 233, 1, 117, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 2, false, false, 1074, 1, 311, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 1, false, false, 97, 1, 117, 1, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 1, false, false, 1075, 1, 201, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 1, false, false, 1075, 1, 201, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 1, false, false, 108, 1, 319, 1, 0, 0}, // #116
{DBGFIELD("BL") 1, false, false, 10, 1, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 1, false, false, 6, 1, 154, 1, 36, 18}, // #120
{DBGFIELD("EXTRWrri") 1, false, false, 7, 1, 1, 1, 3, 1}, // #121
{DBGFIELD("EXTRXrri") 1, false, false, 7, 1, 1, 1, 3, 1}, // #122
{DBGFIELD("BFMWri_BFMXri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 1, false, false, 2, 1, 21, 1, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 1, false, false, 24, 1, 21, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 1, false, false, 24, 1, 21, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 1, false, false, 24, 1, 21, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 1, false, false, 24, 1, 21, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 1, false, false, 232, 1, 187, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 1, false, false, 1073, 1, 201, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 2, false, false, 1073, 1, 313, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 1, false, false, 233, 1, 231, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 1, false, false, 24, 1, 21, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 1, false, false, 24, 1, 21, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 1, false, false, 24, 1, 21, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 1, false, false, 24, 1, 21, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 2, false, false, 24, 1, 166, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 1, false, false, 233, 1, 231, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 1, false, false, 232, 1, 187, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 1, false, false, 232, 1, 187, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 1, false, false, 232, 1, 187, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 1, false, false, 232, 1, 187, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 1, false, false, 232, 1, 187, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 1, false, false, 233, 1, 231, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 1, false, false, 232, 1, 187, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 1, false, false, 232, 1, 187, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 1, false, false, 232, 1, 187, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 1, false, false, 232, 1, 187, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 1, false, false, 232, 1, 187, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 2, false, false, 232, 1, 311, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 1, false, false, 233, 1, 231, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 2, false, false, 233, 1, 315, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 1, false, false, 24, 1, 5, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 24, 1, 5, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 24, 1, 5, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 233, 1, 117, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 233, 1, 117, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 1, false, false, 24, 1, 5, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 2, false, false, 24, 1, 266, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 1, false, false, 233, 1, 117, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 1, false, false, 233, 1, 117, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 1, false, false, 233, 1, 117, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 1, false, false, 1074, 1, 187, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 2, false, false, 1074, 1, 311, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 1, false, false, 233, 1, 117, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 1, false, false, 233, 1, 117, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 2, false, false, 233, 1, 317, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 1, false, false, 1074, 1, 187, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 2, false, false, 1074, 1, 311, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 1, false, false, 1075, 1, 201, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 1, false, false, 108, 1, 319, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 1, false, false, 108, 1, 319, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 1, false, false, 108, 1, 319, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 1, false, false, 108, 1, 319, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #318
{DBGFIELD("LDRBroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #319
{DBGFIELD("LDRBui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #324
{DBGFIELD("LDRDroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #325
{DBGFIELD("LDRDui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #327
{DBGFIELD("LDRHHroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #328
{DBGFIELD("LDRHpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #331
{DBGFIELD("LDRHroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #332
{DBGFIELD("LDRHui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #337
{DBGFIELD("LDRQroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #338
{DBGFIELD("LDRQui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #340
{DBGFIELD("LDRSHWroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #341
{DBGFIELD("LDRSHXroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #342
{DBGFIELD("LDRSHXroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #343
{DBGFIELD("LDRSl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #347
{DBGFIELD("LDRSroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #348
{DBGFIELD("LDRSui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #359
{DBGFIELD("STPDpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #360
{DBGFIELD("STPQi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #362
{DBGFIELD("STPQpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #363
{DBGFIELD("STPSpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #364
{DBGFIELD("STPSpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #365
{DBGFIELD("STPWpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #366
{DBGFIELD("STPWpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #369
{DBGFIELD("STPXpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #370
{DBGFIELD("STRBBpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #371
{DBGFIELD("STRBBpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #372
{DBGFIELD("STRBpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #373
{DBGFIELD("STRBpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #374
{DBGFIELD("STRBroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #375
{DBGFIELD("STRBroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #376
{DBGFIELD("STRDpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #377
{DBGFIELD("STRDpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #378
{DBGFIELD("STRHHpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #379
{DBGFIELD("STRHHpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #380
{DBGFIELD("STRHHroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #381
{DBGFIELD("STRHHroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #382
{DBGFIELD("STRHpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #383
{DBGFIELD("STRHpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #384
{DBGFIELD("STRHroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #385
{DBGFIELD("STRHroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #386
{DBGFIELD("STRQpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #387
{DBGFIELD("STRQpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #388
{DBGFIELD("STRQroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #389
{DBGFIELD("STRQroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #390
{DBGFIELD("STRQui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #392
{DBGFIELD("STRSpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #393
{DBGFIELD("STRWpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #394
{DBGFIELD("STRWpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #395
{DBGFIELD("STRXpost") 2, false, false, 24, 1, 265, 2, 0, 0}, // #396
{DBGFIELD("STRXpre") 2, false, false, 24, 1, 265, 2, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 1, false, false, 7, 1, 18, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #401
{DBGFIELD("ISB") 1, false, false, 10, 1, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 1, false, false, 97, 1, 117, 1, 0, 0}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #453
{DBGFIELD("FMLAv4f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 1, false, false, 2, 1, 21, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 1, false, false, 2, 1, 21, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 1, false, false, 7, 1, 2, 1, 18, 9}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #560
{DBGFIELD("ADDXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #568
{DBGFIELD("EONWrs_EONXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #570
{DBGFIELD("EORWrr_EORXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #571
{DBGFIELD("EORWrs_EORXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #575
{DBGFIELD("ORRWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 1, false, false, 2, 1, 21, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 1, false, false, 2, 1, 21, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 1, false, false, 2, 1, 21, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 1, false, false, 2, 1, 21, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #614
{DBGFIELD("STPSi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 1, false, false, 10, 1, 5, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 1, false, false, 10, 1, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 1, false, false, 7, 1, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 1, false, false, 10, 1, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 1, false, false, 10, 1, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 1, false, false, 2, 1, 21, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 1, false, false, 2, 1, 21, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #649
{DBGFIELD("LDRWl_LDRXl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 1, false, false, 24, 1, 137, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 1, false, false, 24, 1, 137, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #656
{DBGFIELD("LDRSWl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 1, false, false, 6, 1, 16, 1, 36, 27}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 1, false, false, 6, 1, 16, 1, 36, 27}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 1, false, false, 6, 1, 154, 1, 36, 27}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 1, false, false, 1072, 1, 207, 1, 36, 18}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 1, false, false, 326, 1, 306, 1, 36, 18}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 1, false, false, 7, 1, 2, 1, 18, 9}, // #668
{DBGFIELD("ADR_ADRP") 1, false, false, 7, 1, 2, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 1, false, false, 7, 1, 18, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 1, false, false, 7, 1, 18, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 2, false, false, 0, 0, 0, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 2, false, false, 24, 1, 137, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 10, 1, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 10, 1, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 10, 1, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 10, 1, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 10, 1, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 1, false, false, 24, 1, 137, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 2, false, false, 98, 1, 277, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #684
{DBGFIELD("ERET") 1, false, false, 10, 1, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 1, false, false, 24, 1, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 2, false, false, 98, 1, 8, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 2, false, false, 98, 1, 8, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 2, false, false, 98, 1, 8, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 2, false, false, 98, 1, 8, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 1, false, false, 2, 1, 21, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #713
{DBGFIELD("ANDWri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #714
{DBGFIELD("BICSWrr_BICWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #715
{DBGFIELD("BICSWrs_BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #716
{DBGFIELD("EONWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #717
{DBGFIELD("EONWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #718
{DBGFIELD("EORWri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #719
{DBGFIELD("EORWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #720
{DBGFIELD("EORWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #721
{DBGFIELD("ORNWrr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #722
{DBGFIELD("ORNWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #723
{DBGFIELD("ORRWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #724
{DBGFIELD("ORRWri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #740
{DBGFIELD("FMLSv4f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 1, false, false, 97, 1, 117, 1, 0, 0}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 1, false, false, 106, 1, 9, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 1, false, false, 24, 1, 137, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 2, false, false, 98, 1, 277, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #750
{DBGFIELD("MRS") 1, false, false, 10, 1, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 10, 1, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #763
{DBGFIELD("ANDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #764
{DBGFIELD("ANDXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #765
{DBGFIELD("BICWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #766
{DBGFIELD("BICXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #771
{DBGFIELD("PRFMroW") 1, false, false, 24, 1, 137, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #773
{DBGFIELD("FABSDr_FABSSr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 1, false, false, 2, 1, 21, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 1, false, false, 2, 1, 21, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 1, false, false, 2, 1, 21, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 1, false, false, 2, 1, 21, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 1, false, false, 97, 1, 117, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 1, false, false, 97, 1, 117, 1, 0, 0}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 1, false, false, 97, 1, 117, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 1, false, false, 2, 1, 21, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 1, false, false, 2, 1, 21, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 1, false, false, 97, 1, 21, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 1071, 1, 302, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 1, false, false, 2, 1, 21, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 1, false, false, 2, 1, 21, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 1, false, false, 2, 1, 21, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 1, false, false, 10, 1, 5, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #851
{DBGFIELD("SBCSWr_SBCWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #852
{DBGFIELD("ADDWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #853
{DBGFIELD("SUBWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #858
{DBGFIELD("ADDWri") 1, false, false, 7, 1, 2, 1, 18, 9}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 1, false, false, 7, 1, 2, 1, 18, 9}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #861
{DBGFIELD("CSELWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #863
{DBGFIELD("CSINVWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #865
{DBGFIELD("LSLVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #866
{DBGFIELD("BFMWri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 1, false, false, 7, 1, 149, 1, 18, 9}, // #868
{DBGFIELD("CLSWr_CLZWr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #869
{DBGFIELD("RBITWr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #870
{DBGFIELD("REVWr_REV16Wr") 1, false, false, 7, 1, 2, 1, 18, 9}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #880
{DBGFIELD("BRK") 1, false, false, 10, 1, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 1, false, false, 10, 1, 5, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 1, false, false, 10, 1, 5, 1, 0, 0}, // #884
{DBGFIELD("BR") 1, false, false, 10, 1, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #886
{DBGFIELD("ADCXr") 1, false, false, 7, 1, 2, 1, 18, 18}, // #887
{DBGFIELD("ASRVWr_RORVWr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #888
{DBGFIELD("ASRVXr_RORVXr") 1, false, false, 7, 1, 149, 1, 18, 9}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #891
{DBGFIELD("LDNPWi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 2, false, false, 98, 1, 277, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 3, false, false, 98, 1, 303, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 2, false, false, 24, 1, 304, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 3, false, false, 98, 1, 303, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 2, false, false, 24, 1, 304, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #920
{DBGFIELD("LDRXroW") 1, false, false, 24, 1, 137, 1, 129, 1}, // #921
{DBGFIELD("LDRWroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #922
{DBGFIELD("LDRXroX") 1, false, false, 24, 1, 137, 1, 129, 1}, // #923
{DBGFIELD("LDURBBi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 1, false, false, 24, 1, 137, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 1, false, false, 24, 1, 137, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #938
{DBGFIELD("STTRHi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #939
{DBGFIELD("STTRWi") 1, false, false, 24, 1, 5, 1, 0, 0}, // #940
{DBGFIELD("STRBui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 1, false, false, 24, 1, 5, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #946
{DBGFIELD("STRBBroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #947
{DBGFIELD("STRDroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #948
{DBGFIELD("STRDroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #949
{DBGFIELD("STRWroW") 1, false, false, 24, 1, 5, 1, 129, 1}, // #950
{DBGFIELD("STRWroX") 1, false, false, 24, 1, 5, 1, 129, 1}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 1, false, false, 2, 1, 21, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 1, false, false, 2, 1, 21, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 1, false, false, 2, 1, 21, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 1, false, false, 2, 1, 21, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 1, false, false, 2, 1, 21, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 1, false, false, 2, 1, 21, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #965
{DBGFIELD("CASX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #967
{DBGFIELD("CASAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #969
{DBGFIELD("CASLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 1, false, false, 24, 1, 137, 1, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #972
{DBGFIELD("LDADDX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #974
{DBGFIELD("LDADDAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #976
{DBGFIELD("LDADDLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #978
{DBGFIELD("LDADDALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #980
{DBGFIELD("LDCLRX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #986
{DBGFIELD("LDEORX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #988
{DBGFIELD("LDEORAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #990
{DBGFIELD("LDEORLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #992
{DBGFIELD("LDEORALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #994
{DBGFIELD("LDSETX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #996
{DBGFIELD("LDSETAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #998
{DBGFIELD("LDSETLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1008
{DBGFIELD("SWPX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1010
{DBGFIELD("SWPAX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1012
{DBGFIELD("SWPLX") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 1, false, false, 24, 1, 5, 1, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 1, false, false, 7, 1, 3, 1, 63, 18}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 1, false, false, 7, 1, 3, 1, 18, 18}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 1, false, false, 7, 1, 4, 1, 63, 18}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 1, false, false, 7, 1, 4, 1, 18, 18}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // ThunderXT8XModelSchedClasses
// {Name, NumMicroOps, BeginGroup, EndGroup, WriteProcResIdx,#, WriteLatencyIdx,#, ReadAdvanceIdx,#}
static const llvm::MCSchedClassDesc ThunderX2T99ModelSchedClasses[] = {
{DBGFIELD("InvalidSchedClass") 16383, false, false, 0, 0, 0, 0, 0, 0},
{DBGFIELD("WriteV") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #1
{DBGFIELD("WriteI_ReadI_ReadI") 2, false, false, 541, 2, 2, 1, 1, 2}, // #2
{DBGFIELD("WriteI_ReadI") 2, false, false, 541, 2, 2, 1, 0, 1}, // #3
{DBGFIELD("WriteISReg_ReadI_ReadISReg") 2, false, false, 1079, 2, 3, 1, 1, 2}, // #4
{DBGFIELD("WriteIEReg_ReadI_ReadIEReg") 2, false, false, 541, 2, 320, 1, 1, 2}, // #5
{DBGFIELD("WriteAdr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #6
{DBGFIELD("WriteI") 2, false, false, 541, 2, 2, 1, 0, 0}, // #7
{DBGFIELD("WriteIS_ReadI") 2, false, false, 541, 2, 6, 1, 0, 1}, // #8
{DBGFIELD("WriteSys") 1, false, false, 0, 0, 5, 1, 0, 0}, // #9
{DBGFIELD("WriteBr") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #10
{DBGFIELD("WriteBrReg") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #11
{DBGFIELD("WriteAtomic") 2, false, false, 0, 0, 8, 1, 0, 0}, // #12
{DBGFIELD("WriteBarrier") 1, false, false, 0, 0, 5, 1, 0, 0}, // #13
{DBGFIELD("WriteExtr_ReadExtrHi") 2, false, false, 541, 2, 5, 1, 0, 1}, // #14
{DBGFIELD("WriteF") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #15
{DBGFIELD("WriteFCmp") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #16
{DBGFIELD("WriteFCvt") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #17
{DBGFIELD("WriteFDiv") 1, false, false, 1087, 3, 302, 1, 0, 0}, // #18
{DBGFIELD("WriteFMul") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #19
{DBGFIELD("WriteFCopy") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #20
{DBGFIELD("WriteFImm") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #21
{DBGFIELD("WriteHint") 1, false, false, 0, 0, 5, 1, 0, 0}, // #22
{DBGFIELD("WriteST") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #23
{DBGFIELD("WriteLD") 4, false, false, 912, 2, 8, 1, 0, 0}, // #24
{DBGFIELD("WriteLD_WriteLDHi") 9, false, false, 912, 2, 321, 2, 0, 0}, // #25
{DBGFIELD("WriteLD_WriteLDHi_WriteAdr") 11, false, false, 1096, 3, 323, 3, 0, 0}, // #26
{DBGFIELD("WriteLD_WriteAdr") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #27
{DBGFIELD("WriteLDIdx_ReadAdrBase") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #28
{DBGFIELD("WriteLDAdr") 6, false, false, 1096, 3, 10, 1, 0, 0}, // #29
{DBGFIELD("WriteIM32_ReadIM_ReadIM_ReadIMA") 3, false, false, 541, 2, 287, 1, 4, 3}, // #30
{DBGFIELD("WriteIM64_ReadIM_ReadIM_ReadIMA") 3, false, false, 541, 2, 17, 1, 4, 3}, // #31
{DBGFIELD("WriteImm") 2, false, false, 541, 2, 18, 1, 0, 0}, // #32
{DBGFIELD("WriteAdrAdr") 4, false, false, 1079, 2, 1, 1, 0, 0}, // #33
{DBGFIELD("WriteID32_ReadID_ReadID") 4, false, false, 1099, 5, 326, 1, 1, 2}, // #34
{DBGFIELD("WriteID64_ReadID_ReadID") 4, false, false, 1104, 5, 327, 1, 1, 2}, // #35
{DBGFIELD("WriteIM64_ReadIM_ReadIM") 3, false, false, 541, 2, 17, 1, 1, 2}, // #36
{DBGFIELD("WriteSTP") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #37
{DBGFIELD("WriteAdr_WriteSTP") 4, false, false, 1109, 4, 179, 2, 0, 0}, // #38
{DBGFIELD("WriteAdr_WriteST") 4, false, false, 1109, 4, 179, 2, 0, 0}, // #39
{DBGFIELD("WriteSTX") 6, false, false, 1113, 3, 10, 1, 0, 0}, // #40
{DBGFIELD("WriteSTIdx_ReadAdrBase") 3, false, false, 1109, 4, 5, 1, 0, 1}, // #41
{DBGFIELD("WriteI_WriteLD_WriteI_WriteBrReg") 10, false, false, 1116, 4, 290, 4, 0, 0}, // #42
{DBGFIELD("COPY") 2, false, false, 541, 2, 2, 1, 0, 0}, // #43
{DBGFIELD("LD1i16_LD1i32_LD1i64_LD1i8") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #44
{DBGFIELD("LD1Rv16b_LD1Rv1d_LD1Rv2d_LD1Rv2s_LD1Rv4h_LD1Rv4s_LD1Rv8b_LD1Rv8h") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #45
{DBGFIELD("LD1Onev16b_LD1Onev1d_LD1Onev2d_LD1Onev2s_LD1Onev4h_LD1Onev4s_LD1Onev8b_LD1Onev8h") 4, false, false, 912, 2, 8, 1, 0, 0}, // #46
{DBGFIELD("LD1Twov16b_LD1Twov1d_LD1Twov2d_LD1Twov2s_LD1Twov4h_LD1Twov4s_LD1Twov8b_LD1Twov8h") 4, false, false, 912, 2, 8, 1, 0, 0}, // #47
{DBGFIELD("LD1Threev16b_LD1Threev1d_LD1Threev2d_LD1Threev2s_LD1Threev4h_LD1Threev4s_LD1Threev8b_LD1Threev8h") 3, false, false, 912, 2, 10, 1, 0, 0}, // #48
{DBGFIELD("LD1Fourv16b_LD1Fourv1d_LD1Fourv2d_LD1Fourv2s_LD1Fourv4h_LD1Fourv4s_LD1Fourv8b_LD1Fourv8h") 3, false, false, 912, 2, 21, 1, 0, 0}, // #49
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i64_POST_LD1i8_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #50
{DBGFIELD("LD1Rv16b_POST_LD1Rv1d_POST_LD1Rv2d_POST_LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv4s_POST_LD1Rv8b_POST_LD1Rv8h_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #51
{DBGFIELD("LD1Onev16b_POST_LD1Onev1d_POST_LD1Onev2d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev4s_POST_LD1Onev8b_POST_LD1Onev8h_POST") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #52
{DBGFIELD("LD1Twov16b_POST_LD1Twov1d_POST_LD1Twov2d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov4s_POST_LD1Twov8b_POST_LD1Twov8h_POST") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #53
{DBGFIELD("LD1Threev16b_POST_LD1Threev1d_POST_LD1Threev2d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev4s_POST_LD1Threev8b_POST_LD1Threev8h_POST") 5, false, false, 1096, 3, 39, 2, 0, 0}, // #54
{DBGFIELD("LD1Fourv16b_POST_LD1Fourv1d_POST_LD1Fourv2d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv4s_POST_LD1Fourv8b_POST_LD1Fourv8h_POST") 5, false, false, 1096, 3, 21, 2, 0, 0}, // #55
{DBGFIELD("LD2i16_LD2i32_LD2i64_LD2i8") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #56
{DBGFIELD("LD2Rv16b_LD2Rv1d_LD2Rv2d_LD2Rv2s_LD2Rv4h_LD2Rv4s_LD2Rv8b_LD2Rv8h") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #57
{DBGFIELD("LD2Twov2s_LD2Twov4h_LD2Twov8b") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #58
{DBGFIELD("LD2Twov16b_LD2Twov2d_LD2Twov4s_LD2Twov8h") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #59
{DBGFIELD("LD2i16_POST_LD2i32_POST_LD2i64_POST_LD2i8_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #60
{DBGFIELD("LD2Rv16b_POST_LD2Rv1d_POST_LD2Rv2d_POST_LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv4s_POST_LD2Rv8b_POST_LD2Rv8h_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #61
{DBGFIELD("LD2Twov2s_POST_LD2Twov4h_POST_LD2Twov8b_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #62
{DBGFIELD("LD2Twov16b_POST_LD2Twov2d_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #63
{DBGFIELD("LD3i16_LD3i32_LD3i64_LD3i8") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #64
{DBGFIELD("LD3Rv16b_LD3Rv1d_LD3Rv2d_LD3Rv2s_LD3Rv4h_LD3Rv4s_LD3Rv8b_LD3Rv8h") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #65
{DBGFIELD("LD3Threev16b_LD3Threev2s_LD3Threev4h_LD3Threev4s_LD3Threev8b_LD3Threev8h") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #66
{DBGFIELD("LD3Threev2d") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #67
{DBGFIELD("LD3i16_POST_LD3i32_POST_LD3i64_POST_LD3i8_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #68
{DBGFIELD("LD3Rv16b_POST_LD3Rv1d_POST_LD3Rv2d_POST_LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv4s_POST_LD3Rv8b_POST_LD3Rv8h_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #69
{DBGFIELD("LD3Threev16b_POST_LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev4s_POST_LD3Threev8b_POST_LD3Threev8h_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #70
{DBGFIELD("LD3Threev2d_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #71
{DBGFIELD("LD4i16_LD4i32_LD4i64_LD4i8") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #72
{DBGFIELD("LD4Rv16b_LD4Rv1d_LD4Rv2d_LD4Rv2s_LD4Rv4h_LD4Rv4s_LD4Rv8b_LD4Rv8h") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #73
{DBGFIELD("LD4Fourv16b_LD4Fourv2s_LD4Fourv4h_LD4Fourv4s_LD4Fourv8b_LD4Fourv8h") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #74
{DBGFIELD("LD4Fourv2d") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #75
{DBGFIELD("LD4i16_POST_LD4i32_POST_LD4i64_POST_LD4i8_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #76
{DBGFIELD("LD4Rv16b_POST_LD4Rv1d_POST_LD4Rv2d_POST_LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv4s_POST_LD4Rv8b_POST_LD4Rv8h_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #77
{DBGFIELD("LD4Fourv16b_POST_LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv4s_POST_LD4Fourv8b_POST_LD4Fourv8h_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #78
{DBGFIELD("LD4Fourv2d_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #79
{DBGFIELD("ST1i16_ST1i32_ST1i64_ST1i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #80
{DBGFIELD("ST1Onev16b_ST1Onev1d_ST1Onev2d_ST1Onev2s_ST1Onev4h_ST1Onev4s_ST1Onev8b_ST1Onev8h") 1, false, false, 912, 2, 0, 1, 0, 0}, // #81
{DBGFIELD("ST1Twov16b_ST1Twov1d_ST1Twov2d_ST1Twov2s_ST1Twov4h_ST1Twov4s_ST1Twov8b_ST1Twov8h") 1, false, false, 912, 2, 0, 1, 0, 0}, // #82
{DBGFIELD("ST1Threev16b_ST1Threev1d_ST1Threev2d_ST1Threev2s_ST1Threev4h_ST1Threev4s_ST1Threev8b_ST1Threev8h") 1, false, false, 912, 2, 0, 1, 0, 0}, // #83
{DBGFIELD("ST1Fourv16b_ST1Fourv1d_ST1Fourv2d_ST1Fourv2s_ST1Fourv4h_ST1Fourv4s_ST1Fourv8b_ST1Fourv8h") 1, false, false, 912, 2, 0, 1, 0, 0}, // #84
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i64_POST_ST1i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #85
{DBGFIELD("ST1Onev16b_POST_ST1Onev1d_POST_ST1Onev2d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev4s_POST_ST1Onev8b_POST_ST1Onev8h_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #86
{DBGFIELD("ST1Twov16b_POST_ST1Twov1d_POST_ST1Twov2d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov4s_POST_ST1Twov8b_POST_ST1Twov8h_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #87
{DBGFIELD("ST1Threev16b_POST_ST1Threev1d_POST_ST1Threev2d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev4s_POST_ST1Threev8b_POST_ST1Threev8h_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #88
{DBGFIELD("ST1Fourv16b_POST_ST1Fourv1d_POST_ST1Fourv2d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv4s_POST_ST1Fourv8b_POST_ST1Fourv8h_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #89
{DBGFIELD("ST2i16_ST2i32_ST2i64_ST2i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #90
{DBGFIELD("ST2Twov2s_ST2Twov4h_ST2Twov8b") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #91
{DBGFIELD("ST2Twov16b_ST2Twov2d_ST2Twov4s_ST2Twov8h") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #92
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i64_POST_ST2i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #93
{DBGFIELD("ST2Twov2s_POST_ST2Twov4h_POST_ST2Twov8b_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #94
{DBGFIELD("ST2Twov16b_POST_ST2Twov2d_POST_ST2Twov4s_POST_ST2Twov8h_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #95
{DBGFIELD("ST3i16_ST3i32_ST3i64_ST3i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #96
{DBGFIELD("ST3Threev16b_ST3Threev2s_ST3Threev4h_ST3Threev4s_ST3Threev8b_ST3Threev8h") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #97
{DBGFIELD("ST3Threev2d") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #98
{DBGFIELD("ST3i16_POST_ST3i32_POST_ST3i64_POST_ST3i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #99
{DBGFIELD("ST3Threev16b_POST_ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev4s_POST_ST3Threev8b_POST_ST3Threev8h_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #100
{DBGFIELD("ST3Threev2d_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #101
{DBGFIELD("ST4i16_ST4i32_ST4i64_ST4i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #102
{DBGFIELD("ST4Fourv16b_ST4Fourv2s_ST4Fourv4h_ST4Fourv4s_ST4Fourv8b_ST4Fourv8h") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #103
{DBGFIELD("ST4Fourv2d") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #104
{DBGFIELD("ST4i16_POST_ST4i32_POST_ST4i64_POST_ST4i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #105
{DBGFIELD("ST4Fourv16b_POST_ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv4s_POST_ST4Fourv8b_POST_ST4Fourv8h_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #106
{DBGFIELD("ST4Fourv2d_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #107
{DBGFIELD("FMADDDrrr_FMADDHrrr_FMADDSrrr_FMSUBDrrr_FMSUBHrrr_FMSUBSrrr_FNMADDDrrr_FNMADDHrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBHrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #108
{DBGFIELD("FMLAL2lanev4f16_FMLAL2lanev8f16_FMLAL2v4f16_FMLAL2v8f16_FMLALlanev4f16_FMLALlanev8f16_FMLALv4f16_FMLALv8f16_FMLAv1i16_indexed_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2f64_FMLAv2i32_indexed_FMLAv2i64_indexed_FMLAv4f16_FMLAv4f32_FMLAv4i16_indexed_FMLAv4i32_indexed_FMLAv8f16_FMLAv8i16_indexed_FMLSL2lanev4f16_FMLSL2lanev8f16_FMLSL2v4f16_FMLSL2v8f16_FMLSLlanev4f16_FMLSLlanev8f16_FMLSLv4f16_FMLSLv8f16_FMLSv1i16_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2f64_FMLSv2i32_indexed_FMLSv2i64_indexed_FMLSv4f16_FMLSv4f32_FMLSv4i16_indexed_FMLSv4i32_indexed_FMLSv8f16_FMLSv8i16_indexed") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #109
{DBGFIELD("FMLALB_ZZZI_SHH_FMLALB_ZZZ_SHH_FMLALT_ZZZI_SHH_FMLALT_ZZZ_SHH_FMLA_ZPmZZ_D_FMLA_ZPmZZ_H_FMLA_ZPmZZ_S_FMLA_ZZZI_D_FMLA_ZZZI_H_FMLA_ZZZI_S_FMLSLB_ZZZI_SHH_FMLSLB_ZZZ_SHH_FMLSLT_ZZZI_SHH_FMLSLT_ZZZ_SHH_FMLS_ZPmZZ_D_FMLS_ZPmZZ_H_FMLS_ZPmZZ_S_FMLS_ZZZI_D_FMLS_ZZZI_H_FMLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #110
{DBGFIELD("FDIVSrr") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #111
{DBGFIELD("FDIVDrr") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #112
{DBGFIELD("FDIVv2f32_FDIVv4f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #113
{DBGFIELD("FDIVv2f64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #114
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_FRSQRTEv4f32_FRSQRTS32_FRSQRTSv2f32_FRSQRTSv4f32_FSQRTv2f32_FSQRTv4f32_URSQRTEv2i32_URSQRTEv4i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #115
{DBGFIELD("FRSQRTEv1i64_FRSQRTEv2f64_FRSQRTS64_FRSQRTSv2f64_FSQRTv2f64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #116
{DBGFIELD("BL") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #117
{DBGFIELD("BLR") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #118
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #119
{DBGFIELD("SMULHrr_UMULHrr") 2, false, false, 1134, 5, 8, 1, 0, 0}, // #120
{DBGFIELD("EXTRWrri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #121
{DBGFIELD("EXTRXrri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #122
{DBGFIELD("BFMWri_BFMXri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #123
{DBGFIELD("AESD_ZZZ_B_AESE_ZZZ_B") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #124
{DBGFIELD("AESDrr_AESErr") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #125
{DBGFIELD("AESIMC_ZZ_B_AESMC_ZZ_B") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #126
{DBGFIELD("AESIMCrr_AESIMCrrTied_AESMCrr_AESMCrrTied") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #127
{DBGFIELD("SHA1SU0rrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #128
{DBGFIELD("SHA1Hrr_SHA1SU1rr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #129
{DBGFIELD("SHA1Crrr_SHA1Mrrr_SHA1Prrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #130
{DBGFIELD("SHA256SU0rr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #131
{DBGFIELD("SHA256H2rrr_SHA256Hrrr_SHA256SU1rrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #132
{DBGFIELD("CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 2, false, false, 1134, 5, 8, 1, 0, 0}, // #133
{DBGFIELD("LD1i16_LD1i32_LD1i8") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #134
{DBGFIELD("LD1i16_POST_LD1i32_POST_LD1i8_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #135
{DBGFIELD("LD1Rv2s_LD1Rv4h_LD1Rv8b") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #136
{DBGFIELD("LD1Rv2s_POST_LD1Rv4h_POST_LD1Rv8b_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #137
{DBGFIELD("LD1Rv1d") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #138
{DBGFIELD("LD1Rv1d_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #139
{DBGFIELD("LD1Onev1d_LD1Onev2s_LD1Onev4h_LD1Onev8b") 4, false, false, 912, 2, 8, 1, 0, 0}, // #140
{DBGFIELD("LD1Onev1d_POST_LD1Onev2s_POST_LD1Onev4h_POST_LD1Onev8b_POST") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #141
{DBGFIELD("LD1Twov1d_LD1Twov2s_LD1Twov4h_LD1Twov8b") 4, false, false, 912, 2, 8, 1, 0, 0}, // #142
{DBGFIELD("LD1Twov1d_POST_LD1Twov2s_POST_LD1Twov4h_POST_LD1Twov8b_POST") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #143
{DBGFIELD("LD1Threev1d_LD1Threev2s_LD1Threev4h_LD1Threev8b") 3, false, false, 912, 2, 10, 1, 0, 0}, // #144
{DBGFIELD("LD1Threev1d_POST_LD1Threev2s_POST_LD1Threev4h_POST_LD1Threev8b_POST") 5, false, false, 1096, 3, 39, 2, 0, 0}, // #145
{DBGFIELD("LD1Fourv1d_LD1Fourv2s_LD1Fourv4h_LD1Fourv8b") 3, false, false, 912, 2, 21, 1, 0, 0}, // #146
{DBGFIELD("LD1Fourv1d_POST_LD1Fourv2s_POST_LD1Fourv4h_POST_LD1Fourv8b_POST") 5, false, false, 1096, 3, 21, 2, 0, 0}, // #147
{DBGFIELD("LD2i16_LD2i8") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #148
{DBGFIELD("LD2i16_POST_LD2i8_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #149
{DBGFIELD("LD2i32") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #150
{DBGFIELD("LD2i32_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #151
{DBGFIELD("LD2Rv2s_LD2Rv4h_LD2Rv8b") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #152
{DBGFIELD("LD2Rv2s_POST_LD2Rv4h_POST_LD2Rv8b_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #153
{DBGFIELD("LD2Rv1d") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #154
{DBGFIELD("LD2Rv1d_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #155
{DBGFIELD("LD2Twov16b_LD2Twov4s_LD2Twov8h") 3, false, false, 1120, 4, 10, 1, 0, 0}, // #156
{DBGFIELD("LD2Twov16b_POST_LD2Twov4s_POST_LD2Twov8h_POST") 5, false, false, 1124, 4, 39, 2, 0, 0}, // #157
{DBGFIELD("LD3i16_LD3i8") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #158
{DBGFIELD("LD3i16_POST_LD3i8_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #159
{DBGFIELD("LD3i32") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #160
{DBGFIELD("LD3i32_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #161
{DBGFIELD("LD3Rv2s_LD3Rv4h_LD3Rv8b") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #162
{DBGFIELD("LD3Rv2s_POST_LD3Rv4h_POST_LD3Rv8b_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #163
{DBGFIELD("LD3Rv1d") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #164
{DBGFIELD("LD3Rv1d_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #165
{DBGFIELD("LD3Rv16b_LD3Rv4s_LD3Rv8h") 3, false, false, 1120, 4, 31, 1, 0, 0}, // #166
{DBGFIELD("LD3Rv16b_POST_LD3Rv4s_POST_LD3Rv8h_POST") 5, false, false, 1124, 4, 37, 2, 0, 0}, // #167
{DBGFIELD("LD3Threev2s_LD3Threev4h_LD3Threev8b") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #168
{DBGFIELD("LD3Threev2s_POST_LD3Threev4h_POST_LD3Threev8b_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #169
{DBGFIELD("LD4i16_LD4i8") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #170
{DBGFIELD("LD4i16_POST_LD4i8_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #171
{DBGFIELD("LD4i32") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #172
{DBGFIELD("LD4i32_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #173
{DBGFIELD("LD4Rv2s_LD4Rv4h_LD4Rv8b") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #174
{DBGFIELD("LD4Rv2s_POST_LD4Rv4h_POST_LD4Rv8b_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #175
{DBGFIELD("LD4Rv1d") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #176
{DBGFIELD("LD4Rv1d_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #177
{DBGFIELD("LD4Rv16b_LD4Rv4s_LD4Rv8h") 3, false, false, 1120, 4, 21, 1, 0, 0}, // #178
{DBGFIELD("LD4Rv16b_POST_LD4Rv4s_POST_LD4Rv8h_POST") 5, false, false, 1124, 4, 21, 2, 0, 0}, // #179
{DBGFIELD("LD4Fourv2s_LD4Fourv4h_LD4Fourv8b") 3, false, false, 1120, 4, 26, 1, 0, 0}, // #180
{DBGFIELD("LD4Fourv2s_POST_LD4Fourv4h_POST_LD4Fourv8b_POST") 5, false, false, 1124, 4, 111, 2, 0, 0}, // #181
{DBGFIELD("ST1i16_ST1i32_ST1i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #182
{DBGFIELD("ST1i16_POST_ST1i32_POST_ST1i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #183
{DBGFIELD("ST1Onev1d_ST1Onev2s_ST1Onev4h_ST1Onev8b") 1, false, false, 912, 2, 0, 1, 0, 0}, // #184
{DBGFIELD("ST1Onev1d_POST_ST1Onev2s_POST_ST1Onev4h_POST_ST1Onev8b_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #185
{DBGFIELD("ST1Twov1d_ST1Twov2s_ST1Twov4h_ST1Twov8b") 1, false, false, 912, 2, 0, 1, 0, 0}, // #186
{DBGFIELD("ST1Twov1d_POST_ST1Twov2s_POST_ST1Twov4h_POST_ST1Twov8b_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #187
{DBGFIELD("ST1Threev1d_ST1Threev2s_ST1Threev4h_ST1Threev8b") 1, false, false, 912, 2, 0, 1, 0, 0}, // #188
{DBGFIELD("ST1Threev1d_POST_ST1Threev2s_POST_ST1Threev4h_POST_ST1Threev8b_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #189
{DBGFIELD("ST1Fourv1d_ST1Fourv2s_ST1Fourv4h_ST1Fourv8b") 1, false, false, 912, 2, 0, 1, 0, 0}, // #190
{DBGFIELD("ST1Fourv1d_POST_ST1Fourv2s_POST_ST1Fourv4h_POST_ST1Fourv8b_POST") 3, false, false, 1096, 3, 265, 2, 0, 0}, // #191
{DBGFIELD("ST2i16_ST2i32_ST2i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #192
{DBGFIELD("ST2i16_POST_ST2i32_POST_ST2i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #193
{DBGFIELD("ST2Twov16b_ST2Twov4s_ST2Twov8h") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #194
{DBGFIELD("ST2Twov16b_POST_ST2Twov4s_POST_ST2Twov8h_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #195
{DBGFIELD("ST3i16_ST3i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #196
{DBGFIELD("ST3i16_POST_ST3i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #197
{DBGFIELD("ST3i32") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #198
{DBGFIELD("ST3i32_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #199
{DBGFIELD("ST3Threev2s_ST3Threev4h_ST3Threev8b") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #200
{DBGFIELD("ST3Threev2s_POST_ST3Threev4h_POST_ST3Threev8b_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #201
{DBGFIELD("ST4i16_ST4i8") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #202
{DBGFIELD("ST4i16_POST_ST4i8_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #203
{DBGFIELD("ST4i32") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #204
{DBGFIELD("ST4i32_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #205
{DBGFIELD("ST4Fourv2s_ST4Fourv4h_ST4Fourv8b") 2, false, false, 1120, 4, 5, 1, 0, 0}, // #206
{DBGFIELD("ST4Fourv2s_POST_ST4Fourv4h_POST_ST4Fourv8b_POST") 4, false, false, 1124, 4, 179, 2, 0, 0}, // #207
{DBGFIELD("SABAv2i32_SABAv4i16_SABAv8i8_UABAv2i32_UABAv4i16_UABAv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #208
{DBGFIELD("SABAv16i8_SABAv4i32_SABAv8i16_UABAv16i8_UABAv4i32_UABAv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #209
{DBGFIELD("SABALB_ZZZ_D_SABALB_ZZZ_H_SABALB_ZZZ_S_SABALT_ZZZ_D_SABALT_ZZZ_H_SABALT_ZZZ_S_UABALB_ZZZ_D_UABALB_ZZZ_H_UABALB_ZZZ_S_UABALT_ZZZ_D_UABALT_ZZZ_H_UABALT_ZZZ_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #210
{DBGFIELD("SABALv16i8_v8i16_SABALv2i32_v2i64_SABALv4i16_v4i32_SABALv4i32_v2i64_SABALv8i16_v4i32_SABALv8i8_v8i16_UABALv16i8_v8i16_UABALv2i32_v2i64_UABALv4i16_v4i32_UABALv4i32_v2i64_UABALv8i16_v4i32_UABALv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #211
{DBGFIELD("ADDVv4i16v_ADDVv8i8v_SADDLVv4i16v_SADDLVv8i8v_UADDLVv4i16v_UADDLVv8i8v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #212
{DBGFIELD("ADDVv4i32v_ADDVv8i16v_SADDLVv4i32v_SADDLVv8i16v_UADDLVv4i32v_UADDLVv8i16v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #213
{DBGFIELD("ADDVv16i8v_SADDLVv16i8v_UADDLVv16i8v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #214
{DBGFIELD("SMAXVv4i16v_SMAXVv4i32v_SMINVv4i16v_SMINVv4i32v_UMAXVv4i16v_UMAXVv4i32v_UMINVv4i16v_UMINVv4i32v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #215
{DBGFIELD("SMAXVv8i16v_SMAXVv8i8v_SMINVv8i16v_SMINVv8i8v_UMAXVv8i16v_UMAXVv8i8v_UMINVv8i16v_UMINVv8i8v") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #216
{DBGFIELD("SMAXVv16i8v_SMINVv16i8v_UMAXVv16i8v_UMINVv16i8v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #217
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_PMULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #218
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_PMULv16i8_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #219
{DBGFIELD("MLAv2i32_MLAv2i32_indexed_MLAv4i16_MLAv4i16_indexed_MLAv8i8_MLSv2i32_MLSv2i32_indexed_MLSv4i16_MLSv4i16_indexed_MLSv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #220
{DBGFIELD("MLAv16i8_MLAv4i32_MLAv4i32_indexed_MLAv8i16_MLAv8i16_indexed_MLSv16i8_MLSv4i32_MLSv4i32_indexed_MLSv8i16_MLSv8i16_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #221
{DBGFIELD("SMLALB_ZZZI_D_SMLALB_ZZZI_S_SMLALB_ZZZ_D_SMLALB_ZZZ_H_SMLALB_ZZZ_S_SMLALT_ZZZI_D_SMLALT_ZZZI_S_SMLALT_ZZZ_D_SMLALT_ZZZ_H_SMLALT_ZZZ_S_SMLSLB_ZZZI_D_SMLSLB_ZZZI_S_SMLSLB_ZZZ_D_SMLSLB_ZZZ_H_SMLSLB_ZZZ_S_SMLSLT_ZZZI_D_SMLSLT_ZZZI_S_SMLSLT_ZZZ_D_SMLSLT_ZZZ_H_SMLSLT_ZZZ_S_SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S_UMLALB_ZZZI_D_UMLALB_ZZZI_S_UMLALB_ZZZ_D_UMLALB_ZZZ_H_UMLALB_ZZZ_S_UMLALT_ZZZI_D_UMLALT_ZZZI_S_UMLALT_ZZZ_D_UMLALT_ZZZ_H_UMLALT_ZZZ_S_UMLSLB_ZZZI_D_UMLSLB_ZZZI_S_UMLSLB_ZZZ_D_UMLSLB_ZZZ_H_UMLSLB_ZZZ_S_UMLSLT_ZZZI_D_UMLSLT_ZZZI_S_UMLSLT_ZZZ_D_UMLSLT_ZZZ_H_UMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #222
{DBGFIELD("SMLALv16i8_v8i16_SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv4i32_indexed_SMLALv4i32_v2i64_SMLALv8i16_indexed_SMLALv8i16_v4i32_SMLALv8i8_v8i16_SMLSLv16i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv4i32_indexed_SMLSLv4i32_v2i64_SMLSLv8i16_indexed_SMLSLv8i16_v4i32_SMLSLv8i8_v8i16_SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32_UMLALv16i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv4i32_indexed_UMLALv4i32_v2i64_UMLALv8i16_indexed_UMLALv8i16_v4i32_UMLALv8i8_v8i16_UMLSLv16i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv4i32_indexed_UMLSLv4i32_v2i64_UMLSLv8i16_indexed_UMLSLv8i16_v4i32_UMLSLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #223
{DBGFIELD("SMULLB_ZZZI_D_SMULLB_ZZZI_S_SMULLB_ZZZ_D_SMULLB_ZZZ_H_SMULLB_ZZZ_S_SMULLT_ZZZI_D_SMULLT_ZZZI_S_SMULLT_ZZZ_D_SMULLT_ZZZ_H_SMULLT_ZZZ_S_SQDMULLB_ZZZI_D_SQDMULLB_ZZZI_S_SQDMULLB_ZZZ_D_SQDMULLB_ZZZ_H_SQDMULLB_ZZZ_S_SQDMULLT_ZZZI_D_SQDMULLT_ZZZI_S_SQDMULLT_ZZZ_D_SQDMULLT_ZZZ_H_SQDMULLT_ZZZ_S_UMULLB_ZZZI_D_UMULLB_ZZZI_S_UMULLB_ZZZ_D_UMULLB_ZZZ_H_UMULLB_ZZZ_S_UMULLT_ZZZI_D_UMULLT_ZZZI_S_UMULLT_ZZZ_D_UMULLT_ZZZ_H_UMULLT_ZZZ_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #224
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_SQDMULLi16_SQDMULLi32_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #225
{DBGFIELD("PMULLv16i8_PMULLv8i8") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #226
{DBGFIELD("PMULLv1i64_PMULLv2i64") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #227
{DBGFIELD("SADALP_ZPmZ_D_SADALP_ZPmZ_H_SADALP_ZPmZ_S_UADALP_ZPmZ_D_UADALP_ZPmZ_H_UADALP_ZPmZ_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #228
{DBGFIELD("SADALPv16i8_v8i16_SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv4i32_v2i64_SADALPv8i16_v4i32_SADALPv8i8_v4i16_UADALPv16i8_v8i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv4i32_v2i64_UADALPv8i16_v4i32_UADALPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #229
{DBGFIELD("SRSRA_ZZI_B_SRSRA_ZZI_D_SRSRA_ZZI_H_SRSRA_ZZI_S_SSRA_ZZI_B_SSRA_ZZI_D_SSRA_ZZI_H_SSRA_ZZI_S_URSRA_ZZI_B_URSRA_ZZI_D_URSRA_ZZI_H_URSRA_ZZI_S_USRA_ZZI_B_USRA_ZZI_D_USRA_ZZI_H_USRA_ZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #230
{DBGFIELD("SRSRAd_SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAd_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAd_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAd_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #231
{DBGFIELD("RSHRNB_ZZI_B_RSHRNB_ZZI_H_RSHRNB_ZZI_S_RSHRNT_ZZI_B_RSHRNT_ZZI_H_RSHRNT_ZZI_S_SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_SRSHR_ZPmI_B_SRSHR_ZPmI_D_SRSHR_ZPmI_H_SRSHR_ZPmI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S_URSHR_ZPmI_B_URSHR_ZPmI_D_URSHR_ZPmI_H_URSHR_ZPmI_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #232
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNb_SQSHRUNh_SQSHRUNs_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_SRSHRd_SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNb_UQSHRNh_UQSHRNs_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift_URSHRd_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #233
{DBGFIELD("SQSHLU_ZPmI_B_SQSHLU_ZPmI_D_SQSHLU_ZPmI_H_SQSHLU_ZPmI_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #234
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv16i8_shift_SQSHLUv2i32_shift_SQSHLUv2i64_shift_SQSHLUv4i16_shift_SQSHLUv4i32_shift_SQSHLUv8i16_shift_SQSHLUv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #235
{DBGFIELD("SSHLv16i8_SSHLv2i64_SSHLv4i32_SSHLv8i16_USHLv16i8_USHLv2i64_USHLv4i32_USHLv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #236
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv1i16_SQSHLv1i32_SQSHLv1i64_SQSHLv1i8_SQSHLv2i32_SQSHLv2i32_shift_SQSHLv4i16_SQSHLv4i16_shift_SQSHLv8i8_SQSHLv8i8_shift_SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv1i16_UQSHLv1i32_UQSHLv1i64_UQSHLv1i8_UQSHLv2i32_UQSHLv2i32_shift_UQSHLv4i16_UQSHLv4i16_shift_UQSHLv8i8_UQSHLv8i8_shift_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #237
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_SQSHLv16i8_SQSHLv16i8_shift_SQSHLv2i64_SQSHLv2i64_shift_SQSHLv4i32_SQSHLv4i32_shift_SQSHLv8i16_SQSHLv8i16_shift_SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16_UQSHLv16i8_UQSHLv16i8_shift_UQSHLv2i64_UQSHLv2i64_shift_UQSHLv4i32_UQSHLv4i32_shift_UQSHLv8i16_UQSHLv8i16_shift_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #238
{DBGFIELD("FABD32_FABD64_FABDv2f32_FADDv2f32_FSUBv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #239
{DBGFIELD("FABDv2f64_FABDv4f32_FADDv2f64_FADDv4f32_FSUBv2f64_FSUBv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #240
{DBGFIELD("FADDPv2f32_FADDPv2i32p") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #241
{DBGFIELD("FADDPv2f64_FADDPv2i64p_FADDPv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #242
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32_FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGE32_FCMGE64_FCMGEv1i32rz_FCMGEv1i64rz_FCMGEv2f32_FCMGEv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #243
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32_FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGEv2f64_FCMGEv2i64rz_FCMGEv4f32_FCMGEv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #244
{DBGFIELD("FCVTLv2i32_FCVTLv4i16_FCVTLv4i32_FCVTLv8i16_FCVTNv2i32_FCVTNv4i16_FCVTNv4i32_FCVTNv8i16_FCVTXNv1i64_FCVTXNv2f32_FCVTXNv4f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #245
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZSv2i32_shift_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32_FCVTZUv2i32_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #246
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv2i64_shift_FCVTZSv4f32_FCVTZSv4i32_shift_FCVTZUv2f64_FCVTZUv2i64_shift_FCVTZUv4f32_FCVTZUv4i32_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #247
{DBGFIELD("FDIVv2f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #248
{DBGFIELD("FSQRTv2f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #249
{DBGFIELD("FSQRTv4f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #250
{DBGFIELD("FSQRTv2f64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #251
{DBGFIELD("FMAXNMv2f32_FMAXv2f32_FMINNMv2f32_FMINv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #252
{DBGFIELD("FMAXNMv2f64_FMAXNMv4f32_FMAXv2f64_FMAXv4f32_FMINNMv2f64_FMINNMv4f32_FMINv2f64_FMINv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #253
{DBGFIELD("FMAXNMPv2f32_FMAXNMPv2i32p_FMAXPv2f32_FMAXPv2i32p_FMINNMPv2f32_FMINNMPv2i32p_FMINPv2f32_FMINPv2i32p") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #254
{DBGFIELD("FMAXNMPv2f64_FMAXNMPv2i64p_FMAXNMPv4f32_FMAXPv2f64_FMAXPv2i64p_FMAXPv4f32_FMINNMPv2f64_FMINNMPv2i64p_FMINNMPv4f32_FMINPv2f64_FMINPv2i64p_FMINPv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #255
{DBGFIELD("FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #256
{DBGFIELD("FMULX32_FMULX64_FMULXv1i32_indexed_FMULXv1i64_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv1i64_indexed_FMULv2f32_FMULv2i32_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #257
{DBGFIELD("FMULXv2f64_FMULXv2i64_indexed_FMULXv4f32_FMULXv4i32_indexed_FMULv2f64_FMULv2i64_indexed_FMULv4f32_FMULv4i32_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #258
{DBGFIELD("FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2f32_FMLAv2i32_indexed_FMLSv1i32_indexed_FMLSv1i64_indexed_FMLSv2f32_FMLSv2i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #259
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLAv4f32_FMLAv4i32_indexed_FMLSv2f64_FMLSv2i64_indexed_FMLSv4f32_FMLSv4i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #260
{DBGFIELD("FRINTAv2f32_FRINTIv2f32_FRINTMv2f32_FRINTNv2f32_FRINTPv2f32_FRINTXv2f32_FRINTZv2f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #261
{DBGFIELD("FRINTAv2f64_FRINTAv4f32_FRINTIv2f64_FRINTIv4f32_FRINTMv2f64_FRINTMv4f32_FRINTNv2f64_FRINTNv4f32_FRINTPv2f64_FRINTPv4f32_FRINTXv2f64_FRINTXv4f32_FRINTZv2f64_FRINTZv4f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #262
{DBGFIELD("BIFv16i8_BITv16i8_BSLv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #263
{DBGFIELD("CPY_ZPmI_B_CPY_ZPmI_D_CPY_ZPmI_H_CPY_ZPmI_S_CPY_ZPmR_B_CPY_ZPmR_D_CPY_ZPmR_H_CPY_ZPmR_S_CPY_ZPmV_B_CPY_ZPmV_D_CPY_ZPmV_H_CPY_ZPmV_S_CPY_ZPzI_B_CPY_ZPzI_D_CPY_ZPzI_H_CPY_ZPzI_S") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #264
{DBGFIELD("CPYi16_CPYi32_CPYi64_CPYi8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #265
{DBGFIELD("DUPv16i8gpr_DUPv2i32gpr_DUPv2i64gpr_DUPv4i16gpr_DUPv4i32gpr_DUPv8i16gpr_DUPv8i8gpr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #266
{DBGFIELD("SQXTNB_ZZ_B_SQXTNB_ZZ_H_SQXTNB_ZZ_S_SQXTNT_ZZ_B_SQXTNT_ZZ_H_SQXTNT_ZZ_S_SQXTUNB_ZZ_B_SQXTUNB_ZZ_H_SQXTUNB_ZZ_S_SQXTUNT_ZZ_B_SQXTUNT_ZZ_H_SQXTUNT_ZZ_S_UQXTNB_ZZ_B_UQXTNB_ZZ_H_UQXTNB_ZZ_S_UQXTNT_ZZ_B_UQXTNT_ZZ_H_UQXTNT_ZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #267
{DBGFIELD("SQXTNv16i8_SQXTNv1i16_SQXTNv1i32_SQXTNv1i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv1i16_SQXTUNv1i32_SQXTUNv1i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv1i16_UQXTNv1i32_UQXTNv1i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #268
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32_FRECPXv1i32_FRECPXv1i64_URECPEv2i32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #269
{DBGFIELD("FRSQRTEv1i32_FRSQRTEv2f32_URSQRTEv2i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #270
{DBGFIELD("FRSQRTEv1i64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #271
{DBGFIELD("FRECPEv2f64_FRECPEv4f32_URECPEv4i32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #272
{DBGFIELD("FRSQRTEv2f64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #273
{DBGFIELD("FRSQRTEv4f32_URSQRTEv4i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #274
{DBGFIELD("FRECPS32_FRECPS64_FRECPSv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #275
{DBGFIELD("FRSQRTS32_FRSQRTSv2f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #276
{DBGFIELD("FRSQRTS64") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #277
{DBGFIELD("FRECPSv2f64_FRECPSv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #278
{DBGFIELD("TBLv8i8One_TBXv8i8One") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #279
{DBGFIELD("TBLv8i8Two_TBXv8i8Two") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #280
{DBGFIELD("TBLv8i8Three_TBXv8i8Three") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #281
{DBGFIELD("TBLv8i8Four_TBXv8i8Four") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #282
{DBGFIELD("TBLv16i8One_TBXv16i8One") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #283
{DBGFIELD("TBLv16i8Two_TBXv16i8Two") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #284
{DBGFIELD("TBLv16i8Three_TBXv16i8Three") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #285
{DBGFIELD("TBLv16i8Four_TBXv16i8Four") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #286
{DBGFIELD("SMOVvi16to32_SMOVvi16to64_SMOVvi32to64_SMOVvi8to32_SMOVvi8to64_UMOVvi16_UMOVvi32_UMOVvi64_UMOVvi8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #287
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi32gpr_INSvi32lane_INSvi64gpr_INSvi64lane_INSvi8gpr_INSvi8lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #288
{DBGFIELD("UZP1v16i8_UZP1v2i64_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v2i64_UZP2v4i32_UZP2v8i16_ZIP1v16i8_ZIP1v2i64_ZIP1v4i32_ZIP1v8i16_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #289
{DBGFIELD("FADDDrr_FADDSrr_FSUBDrr_FSUBSrr") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #290
{DBGFIELD("FMADDDrrr_FMADDSrrr_FMSUBDrrr_FMSUBSrrr_FNMADDDrrr_FNMADDSrrr_FNMSUBDrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #291
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSSWDri_FCVTZSSWSri_FCVTZSSXDri_FCVTZSSXSri_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUSWDri_FCVTZUSWSri_FCVTZUSXDri_FCVTZUSXSri_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #292
{DBGFIELD("FCVTZSd_FCVTZSs_FCVTZUd_FCVTZUs") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #293
{DBGFIELD("SCVTFSWDri_SCVTFSWHri_SCVTFSWSri_SCVTFSXDri_SCVTFSXHri_SCVTFSXSri_SCVTFUWDri_SCVTFUWHri_SCVTFUWSri_SCVTFUXDri_SCVTFUXHri_SCVTFUXSri_UCVTFSWDri_UCVTFSWHri_UCVTFSWSri_UCVTFSXDri_UCVTFSXHri_UCVTFSXSri_UCVTFUWDri_UCVTFUWHri_UCVTFUWSri_UCVTFUXDri_UCVTFUXHri_UCVTFUXSri") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #294
{DBGFIELD("SCVTF_ZPmZ_DtoD_SCVTF_ZPmZ_DtoH_SCVTF_ZPmZ_DtoS_SCVTF_ZPmZ_HtoH_SCVTF_ZPmZ_StoD_SCVTF_ZPmZ_StoH_SCVTF_ZPmZ_StoS_UCVTF_ZPmZ_DtoD_UCVTF_ZPmZ_DtoH_UCVTF_ZPmZ_DtoS_UCVTF_ZPmZ_HtoH_UCVTF_ZPmZ_StoD_UCVTF_ZPmZ_StoH_UCVTF_ZPmZ_StoS") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #295
{DBGFIELD("SCVTFd_SCVTFh_SCVTFs_SCVTFv1i16_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2f64_SCVTFv2i32_shift_SCVTFv2i64_shift_SCVTFv4f16_SCVTFv4f32_SCVTFv4i16_shift_SCVTFv4i32_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFd_UCVTFh_UCVTFs_UCVTFv1i16_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2f64_UCVTFv2i32_shift_UCVTFv2i64_shift_UCVTFv4f16_UCVTFv4f32_UCVTFv4i16_shift_UCVTFv4i32_shift_UCVTFv8f16_UCVTFv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #296
{DBGFIELD("FMAXDrr_FMAXHrr_FMAXNMDrr_FMAXNMHrr_FMAXNMSrr_FMAXSrr_FMINDrr_FMINHrr_FMINNMDrr_FMINNMHrr_FMINNMSrr_FMINSrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #297
{DBGFIELD("FRINT32XDr_FRINT32XSr_FRINT32ZDr_FRINT32ZSr_FRINT64XDr_FRINT64XSr_FRINT64ZDr_FRINT64ZSr_FRINTADr_FRINTAHr_FRINTASr_FRINTIDr_FRINTIHr_FRINTISr_FRINTMDr_FRINTMHr_FRINTMSr_FRINTNDr_FRINTNHr_FRINTNSr_FRINTPDr_FRINTPHr_FRINTPSr_FRINTXDr_FRINTXHr_FRINTXSr_FRINTZDr_FRINTZHr_FRINTZSr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #298
{DBGFIELD("FSQRTDr") 4, false, false, 1131, 3, 328, 1, 0, 0}, // #299
{DBGFIELD("FSQRTSr") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #300
{DBGFIELD("LDNPDi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #301
{DBGFIELD("LDNPQi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #302
{DBGFIELD("LDNPSi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #303
{DBGFIELD("LDPDi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #304
{DBGFIELD("LDPDpost") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #305
{DBGFIELD("LDPDpre") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #306
{DBGFIELD("LDPQi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #307
{DBGFIELD("LDPQpost") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #308
{DBGFIELD("LDPQpre") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #309
{DBGFIELD("LDPSWi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #310
{DBGFIELD("LDPSWpost") 11, false, false, 1096, 3, 323, 3, 0, 0}, // #311
{DBGFIELD("LDPSWpre") 11, false, false, 1096, 3, 323, 3, 0, 0}, // #312
{DBGFIELD("LDPSi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #313
{DBGFIELD("LDPSpost") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #314
{DBGFIELD("LDPSpre") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #315
{DBGFIELD("LDRBpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #316
{DBGFIELD("LDRBpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #317
{DBGFIELD("LDRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #318
{DBGFIELD("LDRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #319
{DBGFIELD("LDRBui") 4, false, false, 912, 2, 8, 1, 0, 0}, // #320
{DBGFIELD("LDRDl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #321
{DBGFIELD("LDRDpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #322
{DBGFIELD("LDRDpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #323
{DBGFIELD("LDRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #324
{DBGFIELD("LDRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #325
{DBGFIELD("LDRDui") 4, false, false, 912, 2, 8, 1, 0, 0}, // #326
{DBGFIELD("LDRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #327
{DBGFIELD("LDRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #328
{DBGFIELD("LDRHpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #329
{DBGFIELD("LDRHpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #330
{DBGFIELD("LDRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #331
{DBGFIELD("LDRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #332
{DBGFIELD("LDRHui") 4, false, false, 912, 2, 8, 1, 0, 0}, // #333
{DBGFIELD("LDRQl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #334
{DBGFIELD("LDRQpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #335
{DBGFIELD("LDRQpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #336
{DBGFIELD("LDRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #337
{DBGFIELD("LDRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #338
{DBGFIELD("LDRQui") 3, false, false, 912, 2, 10, 1, 0, 0}, // #339
{DBGFIELD("LDRSHWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #340
{DBGFIELD("LDRSHWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #341
{DBGFIELD("LDRSHXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #342
{DBGFIELD("LDRSHXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #343
{DBGFIELD("LDRSl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #344
{DBGFIELD("LDRSpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #345
{DBGFIELD("LDRSpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #346
{DBGFIELD("LDRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #347
{DBGFIELD("LDRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #348
{DBGFIELD("LDRSui") 3, false, false, 912, 2, 10, 1, 0, 0}, // #349
{DBGFIELD("LDURBi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #350
{DBGFIELD("LDURDi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #351
{DBGFIELD("LDURHi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #352
{DBGFIELD("LDURQi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #353
{DBGFIELD("LDURSi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #354
{DBGFIELD("STNPDi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #355
{DBGFIELD("STNPQi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #356
{DBGFIELD("STNPXi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #357
{DBGFIELD("STPDi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #358
{DBGFIELD("STPDpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #359
{DBGFIELD("STPDpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #360
{DBGFIELD("STPQi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #361
{DBGFIELD("STPQpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #362
{DBGFIELD("STPQpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #363
{DBGFIELD("STPSpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #364
{DBGFIELD("STPSpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #365
{DBGFIELD("STPWpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #366
{DBGFIELD("STPWpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #367
{DBGFIELD("STPXi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #368
{DBGFIELD("STPXpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #369
{DBGFIELD("STPXpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #370
{DBGFIELD("STRBBpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #371
{DBGFIELD("STRBBpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #372
{DBGFIELD("STRBpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #373
{DBGFIELD("STRBpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #374
{DBGFIELD("STRBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #375
{DBGFIELD("STRBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #376
{DBGFIELD("STRDpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #377
{DBGFIELD("STRDpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #378
{DBGFIELD("STRHHpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #379
{DBGFIELD("STRHHpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #380
{DBGFIELD("STRHHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #381
{DBGFIELD("STRHHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #382
{DBGFIELD("STRHpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #383
{DBGFIELD("STRHpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #384
{DBGFIELD("STRHroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #385
{DBGFIELD("STRHroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #386
{DBGFIELD("STRQpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #387
{DBGFIELD("STRQpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #388
{DBGFIELD("STRQroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #389
{DBGFIELD("STRQroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #390
{DBGFIELD("STRQui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #391
{DBGFIELD("STRSpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #392
{DBGFIELD("STRSpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #393
{DBGFIELD("STRWpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #394
{DBGFIELD("STRWpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #395
{DBGFIELD("STRXpost") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #396
{DBGFIELD("STRXpre") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #397
{DBGFIELD("STURQi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #398
{DBGFIELD("MOVZWi_MOVZXi") 2, false, false, 541, 2, 5, 1, 0, 0}, // #399
{DBGFIELD("ANDWri_ANDXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #400
{DBGFIELD("ORRXrr_ADDXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #401
{DBGFIELD("ISB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #402
{DBGFIELD("ORRv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #403
{DBGFIELD("FMOVSWr_FMOVDXr_FMOVDXHighr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #404
{DBGFIELD("DUPv16i8lane_DUPv2i32lane_DUPv2i64lane_DUPv4i16lane_DUPv4i32lane_DUPv8i16lane_DUPv8i8lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #405
{DBGFIELD("ABSv16i8_ABSv1i64_ABSv2i32_ABSv2i64_ABSv4i16_ABSv4i32_ABSv8i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #406
{DBGFIELD("SQABSv16i8_SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv2i64_SQABSv4i16_SQABSv4i32_SQABSv8i16_SQABSv8i8_SQNEGv16i8_SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8_SQNEGv2i32_SQNEGv2i64_SQNEGv4i16_SQNEGv4i32_SQNEGv8i16_SQNEGv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #407
{DBGFIELD("SADDLPv16i8_v8i16_SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv4i32_v2i64_SADDLPv8i16_v4i32_SADDLPv8i8_v4i16_UADDLPv16i8_v8i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv4i32_v2i64_UADDLPv8i16_v4i32_UADDLPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #408
{DBGFIELD("ADDVv16i8v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #409
{DBGFIELD("ADDVv4i16v_ADDVv8i8v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #410
{DBGFIELD("ADDVv4i32v_ADDVv8i16v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #411
{DBGFIELD("SQADDv16i8_SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv2i64_SQADDv4i16_SQADDv4i32_SQADDv8i16_SQADDv8i8_SQSUBv16i8_SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv2i64_SQSUBv4i16_SQSUBv4i32_SQSUBv8i16_SQSUBv8i8_UQADDv16i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv2i64_UQADDv4i16_UQADDv4i32_UQADDv8i16_UQADDv8i8_UQSUBv16i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv2i64_UQSUBv4i16_UQSUBv4i32_UQSUBv8i16_UQSUBv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #412
{DBGFIELD("SUQADDv16i8_SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv2i64_SUQADDv4i16_SUQADDv4i32_SUQADDv8i16_SUQADDv8i8_USQADDv16i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv2i64_USQADDv4i16_USQADDv4i32_USQADDv8i16_USQADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #413
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_RADDHNv2i64_v2i32_RADDHNv2i64_v4i32_RADDHNv4i32_v4i16_RADDHNv4i32_v8i16_RADDHNv8i16_v16i8_RADDHNv8i16_v8i8_RSUBHNv2i64_v2i32_RSUBHNv2i64_v4i32_RSUBHNv4i32_v4i16_RSUBHNv4i32_v8i16_RSUBHNv8i16_v16i8_RSUBHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #414
{DBGFIELD("CMEQv16i8_CMEQv16i8rz_CMEQv1i64_CMEQv1i64rz_CMEQv2i32_CMEQv2i32rz_CMEQv2i64_CMEQv2i64rz_CMEQv4i16_CMEQv4i16rz_CMEQv4i32_CMEQv4i32rz_CMEQv8i16_CMEQv8i16rz_CMEQv8i8_CMEQv8i8rz_CMGEv16i8_CMGEv16i8rz_CMGEv1i64_CMGEv1i64rz_CMGEv2i32_CMGEv2i32rz_CMGEv2i64_CMGEv2i64rz_CMGEv4i16_CMGEv4i16rz_CMGEv4i32_CMGEv4i32rz_CMGEv8i16_CMGEv8i16rz_CMGEv8i8_CMGEv8i8rz_CMGTv16i8_CMGTv16i8rz_CMGTv1i64_CMGTv1i64rz_CMGTv2i32_CMGTv2i32rz_CMGTv2i64_CMGTv2i64rz_CMGTv4i16_CMGTv4i16rz_CMGTv4i32_CMGTv4i32rz_CMGTv8i16_CMGTv8i16rz_CMGTv8i8_CMGTv8i8rz_CMLEv16i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv2i64rz_CMLEv4i16rz_CMLEv4i32rz_CMLEv8i16rz_CMLEv8i8rz_CMLTv16i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv2i64rz_CMLTv4i16rz_CMLTv4i32rz_CMLTv8i16rz_CMLTv8i8rz_CMHIv16i8_CMHIv1i64_CMHIv2i32_CMHIv2i64_CMHIv4i16_CMHIv4i32_CMHIv8i16_CMHIv8i8_CMHSv16i8_CMHSv1i64_CMHSv2i32_CMHSv2i64_CMHSv4i16_CMHSv4i32_CMHSv8i16_CMHSv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #415
{DBGFIELD("SMAXv16i8_SMAXv2i32_SMAXv4i16_SMAXv4i32_SMAXv8i16_SMAXv8i8_SMINv16i8_SMINv2i32_SMINv4i16_SMINv4i32_SMINv8i16_SMINv8i8_UMAXv16i8_UMAXv2i32_UMAXv4i16_UMAXv4i32_UMAXv8i16_UMAXv8i8_UMINv16i8_UMINv2i32_UMINv4i16_UMINv4i32_UMINv8i16_UMINv8i8_SMAXPv16i8_SMAXPv2i32_SMAXPv4i16_SMAXPv4i32_SMAXPv8i16_SMAXPv8i8_SMINPv16i8_SMINPv2i32_SMINPv4i16_SMINPv4i32_SMINPv8i16_SMINPv8i8_UMAXPv16i8_UMAXPv2i32_UMAXPv4i16_UMAXPv4i32_UMAXPv8i16_UMAXPv8i8_UMINPv16i8_UMINPv2i32_UMINPv4i16_UMINPv4i32_UMINPv8i16_UMINPv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #416
{DBGFIELD("SABDv16i8_SABDv2i32_SABDv4i16_SABDv4i32_SABDv8i16_SABDv8i8_UABDv16i8_UABDv2i32_UABDv4i16_UABDv4i32_UABDv8i16_UABDv8i8_SABDLv16i8_v8i16_SABDLv2i32_v2i64_SABDLv4i16_v4i32_SABDLv4i32_v2i64_SABDLv8i16_v4i32_SABDLv8i8_v8i16_UABDLv16i8_v8i16_UABDLv2i32_v2i64_UABDLv4i16_v4i32_UABDLv4i32_v2i64_UABDLv8i16_v4i32_UABDLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #417
{DBGFIELD("FADDPv2i32p") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #418
{DBGFIELD("FADDPv2i64p") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #419
{DBGFIELD("FMAXPv2i16p_FMAXNMPv2i16p_FMINPv2i16p_FMINNMPv2i16p") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #420
{DBGFIELD("FMAXPv2i32p_FMAXNMPv2i32p_FMINPv2i32p_FMINNMPv2i32p") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #421
{DBGFIELD("FMAXPv2i64p_FMAXNMPv2i64p_FMINPv2i64p_FMINNMPv2i64p") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #422
{DBGFIELD("FADDSrr_FSUBSrr") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #423
{DBGFIELD("FADDv2f32_FSUBv2f32_FABD32_FABDv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #424
{DBGFIELD("FADDv4f32_FSUBv4f32_FABDv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #425
{DBGFIELD("FADDPv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #426
{DBGFIELD("FCMEQ16_FCMEQv1i16rz_FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGT16_FCMGTv1i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv1i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv1i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #427
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv1i32rz_FCMEQv1i64rz_FCMEQv2f32_FCMEQv2i32rz_FCMGT32_FCMGT64_FCMGTv1i32rz_FCMGTv1i64rz_FCMGTv2f32_FCMGTv2i32rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLEv2i32rz_FCMLTv1i32rz_FCMLTv1i64rz_FCMLTv2i32rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #428
{DBGFIELD("FCMEQ_PPzZ0_D_FCMEQ_PPzZ0_H_FCMEQ_PPzZ0_S_FCMEQ_PPzZZ_D_FCMEQ_PPzZZ_H_FCMEQ_PPzZZ_S_FCMGT_PPzZ0_D_FCMGT_PPzZ0_H_FCMGT_PPzZ0_S_FCMGT_PPzZZ_D_FCMGT_PPzZZ_H_FCMGT_PPzZZ_S_FCMLE_PPzZ0_D_FCMLE_PPzZ0_H_FCMLE_PPzZ0_S_FCMLT_PPzZ0_D_FCMLT_PPzZ0_H_FCMLT_PPzZ0_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #429
{DBGFIELD("FCMEQv2f64_FCMEQv2i64rz_FCMEQv4f32_FCMEQv4i32rz_FCMGTv2f64_FCMGTv2i64rz_FCMGTv4f32_FCMGTv4i32rz_FCMLEv2i64rz_FCMLEv4i32rz_FCMLTv2i64rz_FCMLTv4i32rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #430
{DBGFIELD("FACGE16_FACGEv4f16_FACGEv8f16_FACGT16_FACGTv4f16_FACGTv8f16_FMAXv4f16_FMAXv8f16_FMINv4f16_FMINv8f16_FMAXNMv4f16_FMAXNMv8f16_FMINNMv4f16_FMINNMv8f16_FMAXPv4f16_FMINPv4f16_FMAXNMPv4f16_FMINNMPv4f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #431
{DBGFIELD("FACGE32_FACGE64_FACGEv2f32_FACGT32_FACGT64_FACGTv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #432
{DBGFIELD("FACGE_PPzZZ_D_FACGE_PPzZZ_H_FACGE_PPzZZ_S_FACGT_PPzZZ_D_FACGT_PPzZZ_H_FACGT_PPzZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #433
{DBGFIELD("FACGEv2f64_FACGEv4f32_FACGTv2f64_FACGTv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #434
{DBGFIELD("FMAXSrr_FMAXDrr_FMINSrr_FMINDrr_FMAXNMSrr_FMAXNMDrr_FMINNMSrr_FMINNMDrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #435
{DBGFIELD("SSHRv16i8_shift_SSHRv2i32_shift_SSHRv2i64_shift_SSHRv4i16_shift_SSHRv4i32_shift_SSHRv8i16_shift_SSHRv8i8_shift_USHRv16i8_shift_USHRv2i32_shift_USHRv2i64_shift_USHRv4i16_shift_USHRv4i32_shift_USHRv8i16_shift_USHRv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #436
{DBGFIELD("SRSHRv16i8_shift_SRSHRv2i32_shift_SRSHRv2i64_shift_SRSHRv4i16_shift_SRSHRv4i32_shift_SRSHRv8i16_shift_SRSHRv8i8_shift_URSHRv16i8_shift_URSHRv2i32_shift_URSHRv2i64_shift_URSHRv4i16_shift_URSHRv4i32_shift_URSHRv8i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #437
{DBGFIELD("SRSRAv16i8_shift_SRSRAv2i32_shift_SRSRAv2i64_shift_SRSRAv4i16_shift_SRSRAv4i32_shift_SRSRAv8i16_shift_SRSRAv8i8_shift_SSRAv16i8_shift_SSRAv2i32_shift_SSRAv2i64_shift_SSRAv4i16_shift_SSRAv4i32_shift_SSRAv8i16_shift_SSRAv8i8_shift_URSRAv16i8_shift_URSRAv2i32_shift_URSRAv2i64_shift_URSRAv4i16_shift_URSRAv4i32_shift_URSRAv8i16_shift_URSRAv8i8_shift_USRAv16i8_shift_USRAv2i32_shift_USRAv2i64_shift_USRAv4i16_shift_USRAv4i32_shift_USRAv8i16_shift_USRAv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #438
{DBGFIELD("SRSHLv16i8_SRSHLv2i64_SRSHLv4i32_SRSHLv8i16_URSHLv16i8_URSHLv2i64_URSHLv4i32_URSHLv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #439
{DBGFIELD("SRSHLv1i64_SRSHLv2i32_SRSHLv4i16_SRSHLv8i8_URSHLv1i64_URSHLv2i32_URSHLv4i16_URSHLv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #440
{DBGFIELD("SQRSHLv16i8_SQRSHLv2i64_SQRSHLv4i32_SQRSHLv8i16_UQRSHLv16i8_UQRSHLv2i64_UQRSHLv4i32_UQRSHLv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #441
{DBGFIELD("SQRSHLv1i16_SQRSHLv1i32_SQRSHLv1i64_SQRSHLv1i8_SQRSHLv2i32_SQRSHLv4i16_SQRSHLv8i8_UQRSHLv1i16_UQRSHLv1i32_UQRSHLv1i64_UQRSHLv1i8_UQRSHLv2i32_UQRSHLv4i16_UQRSHLv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #442
{DBGFIELD("RSHRNv16i8_shift_RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv4i32_shift_RSHRNv8i16_shift_RSHRNv8i8_shift_SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #443
{DBGFIELD("SHRNv16i8_shift_SHRNv2i32_shift_SHRNv4i16_shift_SHRNv4i32_shift_SHRNv8i16_shift_SHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #444
{DBGFIELD("MULv16i8_MULv4i32_MULv4i32_indexed_MULv8i16_MULv8i16_indexed_SQDMULHv4i32_SQDMULHv4i32_indexed_SQDMULHv8i16_SQDMULHv8i16_indexed_SQRDMULHv4i32_SQRDMULHv4i32_indexed_SQRDMULHv8i16_SQRDMULHv8i16_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #445
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8_SQDMULHv1i16_SQDMULHv1i16_indexed_SQDMULHv1i32_SQDMULHv1i32_indexed_SQDMULHv2i32_SQDMULHv2i32_indexed_SQDMULHv4i16_SQDMULHv4i16_indexed_SQRDMULHv1i16_SQRDMULHv1i16_indexed_SQRDMULHv1i32_SQRDMULHv1i32_indexed_SQRDMULHv2i32_SQRDMULHv2i32_indexed_SQRDMULHv4i16_SQRDMULHv4i16_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #446
{DBGFIELD("SMULLv16i8_v8i16_SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv4i32_indexed_SMULLv4i32_v2i64_SMULLv8i16_indexed_SMULLv8i16_v4i32_SMULLv8i8_v8i16_UMULLv16i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv4i32_indexed_UMULLv4i32_v2i64_UMULLv8i16_indexed_UMULLv8i16_v4i32_UMULLv8i8_v8i16_SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #447
{DBGFIELD("FMULDrr_FNMULDrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #448
{DBGFIELD("FMULv2f64_FMULv2i64_indexed_FMULXv2f64_FMULXv2i64_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #449
{DBGFIELD("FMULX64") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #450
{DBGFIELD("MLA_ZPmZZ_B_MLA_ZPmZZ_D_MLA_ZPmZZ_H_MLA_ZPmZZ_S_MLA_ZZZI_D_MLA_ZZZI_H_MLA_ZZZI_S_MLS_ZPmZZ_B_MLS_ZPmZZ_D_MLS_ZPmZZ_H_MLS_ZPmZZ_S_MLS_ZZZI_D_MLS_ZZZI_H_MLS_ZZZI_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #451
{DBGFIELD("FMADDSrrr_FMSUBSrrr_FNMADDSrrr_FNMSUBSrrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #452
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed_FMLAv1i64_indexed_FMLAv2i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #453
{DBGFIELD("FMLAv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #454
{DBGFIELD("FMLAv2f64_FMLAv2i64_indexed_FMLSv2f64_FMLSv2i64_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #455
{DBGFIELD("FRECPEv1f16_FRECPEv4f16_FRECPEv8f16_FRECPXv1f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #456
{DBGFIELD("URSQRTEv2i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #457
{DBGFIELD("URSQRTEv4i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #458
{DBGFIELD("FRSQRTEv1f16_FRSQRTEv4f16_FRSQRTEv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #459
{DBGFIELD("FRECPSv2f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #460
{DBGFIELD("FRECPSv4f16_FRECPSv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #461
{DBGFIELD("FRSQRTSv2f32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #462
{DBGFIELD("FRSQRTSv4f16_FRSQRTSv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #463
{DBGFIELD("FCVTSHr_FCVTDHr_FCVTDSr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #464
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_SCVTFUWDri_SCVTFUWSri_SCVTFUXDri_SCVTFUXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri_UCVTFUWDri_UCVTFUWSri_UCVTFUXDri_UCVTFUXSri") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #465
{DBGFIELD("AESIMCrr_AESMCrr") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #466
{DBGFIELD("SHA256SU1rrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #467
{DBGFIELD("FABSv2f32_FNEGv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #468
{DBGFIELD("FACGEv2f32_FACGTv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #469
{DBGFIELD("FCMEQ32_FCMEQ64_FCMEQv2f32_FCMGT32_FCMGT64_FCMGTv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #470
{DBGFIELD("FCMGE32_FCMGE64_FCMGEv2f32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #471
{DBGFIELD("FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #472
{DBGFIELD("FABDv2f32_FADDv2f32_FSUBv2f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #473
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32_FCVTZSv1i32_FCVTZSv1i64_FCVTZSv2f32_FCVTZUv1i32_FCVTZUv1i64_FCVTZUv2f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #474
{DBGFIELD("FCVTXNv1i64") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #475
{DBGFIELD("FMULXv1i32_indexed_FMULXv2f32_FMULXv2i32_indexed_FMULv1i32_indexed_FMULv2f32_FMULv2i32_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #476
{DBGFIELD("FMULX32") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #477
{DBGFIELD("FABSv2f64_FABSv4f32_FNEGv2f64_FNEGv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #478
{DBGFIELD("FCMEQv2f64_FCMEQv4f32_FCMGTv2f64_FCMGTv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #479
{DBGFIELD("FCMGEv2f64_FCMGEv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #480
{DBGFIELD("FCVTLv4i16_FCVTLv2i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #481
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32_FCVTZSv2f64_FCVTZSv4f32_FCVTZUv2f64_FCVTZUv4f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #482
{DBGFIELD("FCVTLv8i16_FCVTLv4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #483
{DBGFIELD("FMULXv2f64_FMULv2f64") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #484
{DBGFIELD("FCVTNv4i16_FCVTNv2i32_FCVTXNv2f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #485
{DBGFIELD("FMLAv1i32_indexed_FMLAv2f32_FMLAv2i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #486
{DBGFIELD("FMLSv1i32_indexed_FMLSv2f32_FMLSv2i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #487
{DBGFIELD("ADDv1i64_ADDv2i32_ADDv4i16_ADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #488
{DBGFIELD("ADDPv2i64p") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #489
{DBGFIELD("ANDv8i8_BICv8i8_EORv8i8_ORNv8i8_ORRv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #490
{DBGFIELD("BICv2i32_BICv4i16_ORRv2i32_ORRv4i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #491
{DBGFIELD("NEGv1i64_NEGv2i32_NEGv4i16_NEGv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #492
{DBGFIELD("SUBv1i64_SUBv2i32_SUBv4i16_SUBv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #493
{DBGFIELD("SADDLPv2i32_v1i64_SADDLPv4i16_v2i32_SADDLPv8i8_v4i16_UADDLPv2i32_v1i64_UADDLPv4i16_v2i32_UADDLPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #494
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_SSHLv2i32_SSHLv4i16_SSHLv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8_USHLv2i32_USHLv4i16_USHLv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #495
{DBGFIELD("SSHLv1i64_USHLv1i64") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #496
{DBGFIELD("SSHRv2i32_shift_SSHRv4i16_shift_SSHRv8i8_shift_USHRv2i32_shift_USHRv4i16_shift_USHRv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #497
{DBGFIELD("SSHRd_USHRd") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #498
{DBGFIELD("ABSv1i64_ABSv2i32_ABSv4i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #499
{DBGFIELD("ADDPv2i32_ADDPv4i16_ADDPv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #500
{DBGFIELD("CMEQv1i64_CMEQv2i32_CMEQv4i16_CMEQv8i8_CMGEv1i64_CMGEv2i32_CMGEv4i16_CMGEv8i8_CMGTv1i64_CMGTv2i32_CMGTv4i16_CMGTv8i8_CMHIv1i64_CMHIv2i32_CMHIv4i16_CMHIv8i8_CMHSv1i64_CMHSv2i32_CMHSv4i16_CMHSv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #501
{DBGFIELD("SMAXPv2i32_SMAXPv4i16_SMAXPv8i8_SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINPv2i32_SMINPv4i16_SMINPv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXPv2i32_UMAXPv4i16_UMAXPv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINPv2i32_UMINPv4i16_UMINPv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #502
{DBGFIELD("CMEQv1i64rz_CMEQv2i32rz_CMEQv4i16rz_CMEQv8i8rz_CMGEv1i64rz_CMGEv2i32rz_CMGEv4i16rz_CMGEv8i8rz_CMGTv1i64rz_CMGTv2i32rz_CMGTv4i16rz_CMGTv8i8rz_CMLEv1i64rz_CMLEv2i32rz_CMLEv4i16rz_CMLEv8i8rz_CMLTv1i64rz_CMLTv2i32rz_CMLTv4i16rz_CMLTv8i8rz") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #503
{DBGFIELD("CMTSTv1i64_CMTSTv2i32_CMTSTv4i16_CMTSTv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #504
{DBGFIELD("SHLv2i32_shift_SHLv4i16_shift_SHLv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #505
{DBGFIELD("SHLd") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #506
{DBGFIELD("SQNEGv2i32_SQNEGv4i16_SQNEGv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #507
{DBGFIELD("SRSRAv2i32_shift_SRSRAv4i16_shift_SRSRAv8i8_shift_SSRAv2i32_shift_SSRAv4i16_shift_SSRAv8i8_shift_URSRAv2i32_shift_URSRAv4i16_shift_URSRAv8i8_shift_USRAv2i32_shift_USRAv4i16_shift_USRAv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #508
{DBGFIELD("SABDv2i32_SABDv4i16_SABDv8i8_UABDv2i32_UABDv4i16_UABDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #509
{DBGFIELD("SADALPv2i32_v1i64_SADALPv4i16_v2i32_SADALPv8i8_v4i16_UADALPv2i32_v1i64_UADALPv4i16_v2i32_UADALPv8i8_v4i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #510
{DBGFIELD("SADDLVv4i16v_UADDLVv4i16v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #511
{DBGFIELD("SQADDv1i16_SQADDv1i32_SQADDv1i64_SQADDv1i8_SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv1i16_UQADDv1i32_UQADDv1i64_UQADDv1i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #512
{DBGFIELD("SQSHLUb_SQSHLUd_SQSHLUh_SQSHLUs_SQSHLUv2i32_shift_SQSHLUv4i16_shift_SQSHLUv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #513
{DBGFIELD("SQSHLb_SQSHLd_SQSHLh_SQSHLs_SQSHLv2i32_shift_SQSHLv4i16_shift_SQSHLv8i8_shift_UQSHLb_UQSHLd_UQSHLh_UQSHLs_UQSHLv2i32_shift_UQSHLv4i16_shift_UQSHLv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #514
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_SQSHRNb_SQSHRNh_SQSHRNs_SQSHRUNb_SQSHRUNh_SQSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs_UQSHRNb_UQSHRNh_UQSHRNs") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #515
{DBGFIELD("SQSUBv1i16_SQSUBv1i32_SQSUBv1i64_SQSUBv1i8_SQSUBv2i32_SQSUBv4i16_SQSUBv8i8_UQSUBv1i16_UQSUBv1i32_UQSUBv1i64_UQSUBv1i8_UQSUBv2i32_UQSUBv4i16_UQSUBv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #516
{DBGFIELD("SRHADDv2i32_SRHADDv4i16_SRHADDv8i8_URHADDv2i32_URHADDv4i16_URHADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #517
{DBGFIELD("SRSHRv2i32_shift_SRSHRv4i16_shift_SRSHRv8i8_shift_URSHRv2i32_shift_URSHRv4i16_shift_URSHRv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #518
{DBGFIELD("RSHRNv2i32_shift_RSHRNv4i16_shift_RSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #519
{DBGFIELD("SHRNv2i32_shift_SHRNv4i16_shift_SHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #520
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_SUQADDv2i32_SUQADDv4i16_SUQADDv8i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8_USQADDv2i32_USQADDv4i16_USQADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #521
{DBGFIELD("ADDVv4i16v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #522
{DBGFIELD("SLId_SLIv2i32_shift_SLIv4i16_shift_SLIv8i8_shift_SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #523
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8_SQABSv2i32_SQABSv4i16_SQABSv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #524
{DBGFIELD("SQNEGv1i16_SQNEGv1i32_SQNEGv1i64_SQNEGv1i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #525
{DBGFIELD("MULv2i32_MULv2i32_indexed_MULv4i16_MULv4i16_indexed_MULv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #526
{DBGFIELD("SQRDMLAHi16_indexed_SQRDMLAHi32_indexed_SQRDMLAHv1i16_SQRDMLAHv1i32_SQRDMLAHv2i32_SQRDMLAHv2i32_indexed_SQRDMLAHv4i16_SQRDMLAHv4i16_indexed_SQRDMLSHi16_indexed_SQRDMLSHi32_indexed_SQRDMLSHv1i16_SQRDMLSHv1i32_SQRDMLSHv2i32_SQRDMLSHv2i32_indexed_SQRDMLSHv4i16_SQRDMLSHv4i16_indexed") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #527
{DBGFIELD("ADDVv4i32v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #528
{DBGFIELD("ADDHNv2i64_v2i32_ADDHNv2i64_v4i32_ADDHNv4i32_v4i16_ADDHNv4i32_v8i16_ADDHNv8i16_v16i8_ADDHNv8i16_v8i8_SUBHNv2i64_v2i32_SUBHNv2i64_v4i32_SUBHNv4i32_v4i16_SUBHNv4i32_v8i16_SUBHNv8i16_v16i8_SUBHNv8i16_v8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #529
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv16i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQRSHRUNv8i8_shift_SQSHRNv16i8_shift_SQSHRNv2i32_shift_SQSHRNv4i16_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRNv8i8_shift_SQSHRUNv16i8_shift_SQSHRUNv2i32_shift_SQSHRUNv4i16_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_SQSHRUNv8i8_shift_UQRSHRNv16i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQRSHRNv8i8_shift_UQSHRNv16i8_shift_UQSHRNv2i32_shift_UQSHRNv4i16_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift_UQSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #530
{DBGFIELD("ADDv16i8_ADDv2i64_ADDv4i32_ADDv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #531
{DBGFIELD("ADDPv2i64") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #532
{DBGFIELD("ANDv16i8_BICv16i8_EORv16i8_ORNv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #533
{DBGFIELD("BICv4i32_BICv8i16_ORRv4i32_ORRv8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #534
{DBGFIELD("NEGv16i8_NEGv2i64_NEGv4i32_NEGv8i16_SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #535
{DBGFIELD("SADDLv16i8_v8i16_SADDLv2i32_v2i64_SADDLv4i16_v4i32_SADDLv4i32_v2i64_SADDLv8i16_v4i32_SADDLv8i8_v8i16_UADDLv16i8_v8i16_UADDLv2i32_v2i64_UADDLv4i16_v4i32_UADDLv4i32_v2i64_UADDLv8i16_v4i32_UADDLv8i8_v8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #536
{DBGFIELD("SHADDv16i8_SHADDv4i32_SHADDv8i16_SHSUBv16i8_SHSUBv4i32_SHSUBv8i16_UHADDv16i8_UHADDv4i32_UHADDv8i16_UHSUBv16i8_UHSUBv4i32_UHSUBv8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #537
{DBGFIELD("SSHLLv16i8_shift_SSHLLv2i32_shift_SSHLLv4i16_shift_SSHLLv4i32_shift_SSHLLv8i16_shift_SSHLLv8i8_shift_USHLLv16i8_shift_USHLLv2i32_shift_USHLLv4i16_shift_USHLLv4i32_shift_USHLLv8i16_shift_USHLLv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #538
{DBGFIELD("SSUBLv16i8_v8i16_SSUBLv2i32_v2i64_SSUBLv4i16_v4i32_SSUBLv4i32_v2i64_SSUBLv8i16_v4i32_SSUBLv8i8_v8i16_USUBLv16i8_v8i16_USUBLv2i32_v2i64_USUBLv4i16_v4i32_USUBLv4i32_v2i64_USUBLv8i16_v4i32_USUBLv8i8_v8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #539
{DBGFIELD("ADDPv16i8_ADDPv4i32_ADDPv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #540
{DBGFIELD("CMEQv16i8_CMEQv2i64_CMEQv4i32_CMEQv8i16_CMGEv16i8_CMGEv2i64_CMGEv4i32_CMGEv8i16_CMGTv16i8_CMGTv2i64_CMGTv4i32_CMGTv8i16_CMHIv16i8_CMHIv2i64_CMHIv4i32_CMHIv8i16_CMHSv16i8_CMHSv2i64_CMHSv4i32_CMHSv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #541
{DBGFIELD("CMTSTv16i8_CMTSTv2i64_CMTSTv4i32_CMTSTv8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #542
{DBGFIELD("SHLv16i8_shift_SHLv2i64_shift_SHLv4i32_shift_SHLv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #543
{DBGFIELD("SHLLv16i8_SHLLv2i32_SHLLv4i16_SHLLv4i32_SHLLv8i16_SHLLv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #544
{DBGFIELD("SABDv16i8_SABDv4i32_SABDv8i16_UABDv16i8_UABDv4i32_UABDv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #545
{DBGFIELD("SQADDv16i8_SQADDv2i64_SQADDv4i32_SQADDv8i16_UQADDv16i8_UQADDv2i64_UQADDv4i32_UQADDv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #546
{DBGFIELD("SQSHLv16i8_shift_SQSHLv2i64_shift_SQSHLv4i32_shift_SQSHLv8i16_shift_UQSHLv16i8_shift_UQSHLv2i64_shift_UQSHLv4i32_shift_UQSHLv8i16_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #547
{DBGFIELD("SRHADDv16i8_SRHADDv4i32_SRHADDv8i16_URHADDv16i8_URHADDv4i32_URHADDv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #548
{DBGFIELD("SLIv16i8_shift_SLIv2i64_shift_SLIv4i32_shift_SLIv8i16_shift_SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #549
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32_SQDMULLv4i32_indexed_SQDMULLv4i32_v2i64_SQDMULLv8i16_indexed_SQDMULLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #550
{DBGFIELD("SQRDMLAHv4i32_SQRDMLAHv4i32_indexed_SQRDMLAHv8i16_SQRDMLAHv8i16_indexed_SQRDMLSHv4i32_SQRDMLSHv4i32_indexed_SQRDMLSHv8i16_SQRDMLSHv8i16_indexed") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #551
{DBGFIELD("SADDLVv4i32v_UADDLVv4i32v") 3, false, false, 1084, 3, 117, 1, 0, 0}, // #552
{DBGFIELD("SADDWv16i8_v8i16_SADDWv2i32_v2i64_SADDWv4i16_v4i32_SADDWv4i32_v2i64_SADDWv8i16_v4i32_SADDWv8i8_v8i16_SSUBWv16i8_v8i16_SSUBWv2i32_v2i64_SSUBWv4i16_v4i32_SSUBWv4i32_v2i64_SSUBWv8i16_v4i32_SSUBWv8i8_v8i16_UADDWv16i8_v8i16_UADDWv2i32_v2i64_UADDWv4i16_v4i32_UADDWv4i32_v2i64_UADDWv8i16_v4i32_UADDWv8i8_v8i16_USUBWv16i8_v8i16_USUBWv2i32_v2i64_USUBWv4i16_v4i32_USUBWv4i32_v2i64_USUBWv8i16_v4i32_USUBWv8i8_v8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #553
{DBGFIELD("SQDMLALi16_SQDMLALi32_SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLi16_SQDMLSLi32_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #554
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLALv4i32_indexed_SQDMLALv4i32_v2i64_SQDMLALv8i16_indexed_SQDMLALv8i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32_SQDMLSLv4i32_indexed_SQDMLSLv4i32_v2i64_SQDMLSLv8i16_indexed_SQDMLSLv8i16_v4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #555
{DBGFIELD("CCMNWi_CCMNXi_CCMPWi_CCMPXi") 2, false, false, 541, 2, 2, 1, 0, 0}, // #556
{DBGFIELD("CCMNWr_CCMNXr_CCMPWr_CCMPXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #557
{DBGFIELD("ADCSWr_ADCSXr_ADCWr_ADCXr") 2, false, false, 541, 2, 2, 1, 1, 2}, // #558
{DBGFIELD("ADDSWri_ADDSXri_ADDWri_ADDXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #559
{DBGFIELD("ADDSWrr_ADDSXrr_ADDWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #560
{DBGFIELD("ADDXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #561
{DBGFIELD("CSELWr_CSELXr_CSINCWr_CSINCXr_CSINVWr_CSINVXr_CSNEGWr_CSNEGXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #562
{DBGFIELD("ANDSWri_ANDSXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #563
{DBGFIELD("ANDSWrr_ANDSXrr_ANDWrr_ANDXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #564
{DBGFIELD("ANDSWrs_ANDSXrs_ANDWrs_ANDXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #565
{DBGFIELD("BICSWrr_BICSXrr_BICWrr_BICXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #566
{DBGFIELD("BICSWrs_BICSXrs_BICWrs_BICXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #567
{DBGFIELD("EONWrr_EONXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #568
{DBGFIELD("EONWrs_EONXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #569
{DBGFIELD("EORWri_EORXri") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #570
{DBGFIELD("EORWrr_EORXrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #571
{DBGFIELD("EORWrs_EORXrs") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #572
{DBGFIELD("ORNWrr_ORNXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #573
{DBGFIELD("ORNWrs_ORNXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #574
{DBGFIELD("ORRWri_ORRXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #575
{DBGFIELD("ORRWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #576
{DBGFIELD("ORRWrs_ORRXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #577
{DBGFIELD("SBCSWr_SBCSXr_SBCWr_SBCXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #578
{DBGFIELD("SUBSWri_SUBSXri_SUBWri_SUBXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #579
{DBGFIELD("SUBSWrr_SUBSXrr_SUBWrr_SUBXrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #580
{DBGFIELD("ADDSWrs_ADDSXrs_ADDWrs_ADDXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #581
{DBGFIELD("ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64") 2, false, false, 541, 2, 2, 1, 0, 0}, // #582
{DBGFIELD("SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64") 2, false, false, 541, 2, 2, 1, 0, 0}, // #583
{DBGFIELD("DUPv2i32gpr_DUPv4i16gpr_DUPv8i8gpr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #584
{DBGFIELD("DUPv2i32lane_DUPv4i16lane_DUPv8i8lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #585
{DBGFIELD("DUPv16i8gpr_DUPv8i16gpr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #586
{DBGFIELD("DUPv16i8lane_DUPv8i16lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #587
{DBGFIELD("INSvi16gpr_INSvi16lane_INSvi8gpr_INSvi8lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #588
{DBGFIELD("BIFv8i8_BITv8i8_BSLv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #589
{DBGFIELD("EXTv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #590
{DBGFIELD("MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #591
{DBGFIELD("TBLv8i8One") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #592
{DBGFIELD("NOTv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #593
{DBGFIELD("REV16v16i8_REV16v8i8_REV32v16i8_REV32v4i16_REV32v8i16_REV32v8i8_REV64v16i8_REV64v2i32_REV64v4i16_REV64v4i32_REV64v8i16_REV64v8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #594
{DBGFIELD("TRN1v16i8_TRN1v2i32_TRN1v2i64_TRN1v4i16_TRN1v4i32_TRN1v8i16_TRN1v8i8_TRN2v16i8_TRN2v2i32_TRN2v2i64_TRN2v4i16_TRN2v4i32_TRN2v8i16_TRN2v8i8_UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_XTNv16i8_XTNv2i32_XTNv4i16_XTNv4i32_XTNv8i16_XTNv8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #595
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8_RBITv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #596
{DBGFIELD("FRECPEv1i32_FRECPEv1i64_FRECPEv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #597
{DBGFIELD("FRECPXv1i32_FRECPXv1i64") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #598
{DBGFIELD("FRECPS32") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #599
{DBGFIELD("EXTv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #600
{DBGFIELD("MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #601
{DBGFIELD("NOTv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #602
{DBGFIELD("TBLv16i8One") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #603
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8_RBITv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #604
{DBGFIELD("FRECPEv2f64_FRECPEv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #605
{DBGFIELD("TBLv8i8Two") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #606
{DBGFIELD("FRECPSv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #607
{DBGFIELD("TBLv16i8Two") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #608
{DBGFIELD("TBLv8i8Three") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #609
{DBGFIELD("TBLv16i8Three") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #610
{DBGFIELD("TBLv8i8Four") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #611
{DBGFIELD("TBLv16i8Four") 3, false, false, 1084, 3, 26, 1, 0, 0}, // #612
{DBGFIELD("STRBui_STRDui_STRHui_STRSui") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #613
{DBGFIELD("STRDroW_STRDroX_STRSroW_STRSroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #614
{DBGFIELD("STPSi") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #615
{DBGFIELD("STURBi_STURDi_STURHi_STURSi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #616
{DBGFIELD("STNPSi") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #617
{DBGFIELD("B") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #618
{DBGFIELD("TCRETURNdi") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #619
{DBGFIELD("BR_RET") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #620
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX_TBNZW_TBNZX_TBZW_TBZX") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #621
{DBGFIELD("RET_ReallyLR_TCRETURNri") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #622
{DBGFIELD("Bcc") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #623
{DBGFIELD("SHA1Hrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #624
{DBGFIELD("FCCMPDrr_FCCMPEDrr_FCCMPESrr_FCCMPSrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #625
{DBGFIELD("FCMPDri_FCMPDrr_FCMPEDri_FCMPEDrr_FCMPESri_FCMPESrr_FCMPSri_FCMPSrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #626
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr_FCVTZSUWDr_FCVTZSUWSr_FCVTZSUXDr_FCVTZSUXSr_FCVTZUUWDr_FCVTZUUWSr_FCVTZUUXDr_FCVTZUUXSr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #627
{DBGFIELD("FABSDr_FABSSr_FNEGDr_FNEGSr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #628
{DBGFIELD("FCSELDrrr_FCSELSrrr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #629
{DBGFIELD("FCVTSHr_FCVTDHr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #630
{DBGFIELD("FRINTADr_FRINTASr_FRINTIDr_FRINTISr_FRINTMDr_FRINTMSr_FRINTNDr_FRINTNSr_FRINTPDr_FRINTPSr_FRINTXDr_FRINTXSr_FRINTZDr_FRINTZSr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #631
{DBGFIELD("FCVTHSr_FCVTHDr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #632
{DBGFIELD("FCVTSDr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #633
{DBGFIELD("FMULSrr_FNMULSrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #634
{DBGFIELD("FMOVWSr_FMOVXDHighr_FMOVXDr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #635
{DBGFIELD("FMOVDi_FMOVSi") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #636
{DBGFIELD("FMOVDr_FMOVSr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #637
{DBGFIELD("FMOVv2f32_ns_FMOVv2f64_ns_FMOVv4f16_ns_FMOVv4f32_ns_FMOVv8f16_ns") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #638
{DBGFIELD("FMOVD0_FMOVS0") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #639
{DBGFIELD("SCVTFd_SCVTFs_SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFd_UCVTFs_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #640
{DBGFIELD("SCVTFv2f64_SCVTFv2i64_shift_SCVTFv4f32_SCVTFv4i32_shift_UCVTFv2f64_UCVTFv2i64_shift_UCVTFv4f32_UCVTFv4i32_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #641
{DBGFIELD("PRFMui_PRFMl") 4, false, false, 1096, 3, 21, 1, 0, 0}, // #642
{DBGFIELD("PRFUMi") 4, false, false, 1096, 3, 21, 1, 0, 0}, // #643
{DBGFIELD("LDNPWi_LDNPXi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #644
{DBGFIELD("LDPWi_LDPXi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #645
{DBGFIELD("LDPWpost_LDPWpre_LDPXpost_LDPXpre") 10, false, false, 1142, 3, 43, 3, 0, 0}, // #646
{DBGFIELD("LDRBBui_LDRHHui_LDRWui_LDRXui") 4, false, false, 912, 2, 8, 1, 0, 0}, // #647
{DBGFIELD("LDRBBpost_LDRBBpre_LDRHHpost_LDRHHpre_LDRWpost_LDRWpre_LDRXpost_LDRXpre") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #648
{DBGFIELD("LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #649
{DBGFIELD("LDRWl_LDRXl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #650
{DBGFIELD("LDTRBi_LDTRHi_LDTRWi_LDTRXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #651
{DBGFIELD("LDURBBi_LDURHHi_LDURWi_LDURXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #652
{DBGFIELD("PRFMroW_PRFMroX") 4, false, false, 1096, 3, 21, 1, 0, 0}, // #653
{DBGFIELD("LDRSBWui_LDRSBXui_LDRSHWui_LDRSHXui_LDRSWui") 4, false, false, 912, 2, 8, 1, 0, 0}, // #654
{DBGFIELD("LDRSBWpost_LDRSBWpre_LDRSBXpost_LDRSBXpre_LDRSHWpost_LDRSHWpre_LDRSHXpost_LDRSHXpre_LDRSWpost_LDRSWpre") 6, false, false, 1096, 3, 14, 2, 0, 0}, // #655
{DBGFIELD("LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #656
{DBGFIELD("LDRSWl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #657
{DBGFIELD("LDTRSBWi_LDTRSBXi_LDTRSHWi_LDTRSHXi_LDTRSWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #658
{DBGFIELD("LDURSBWi_LDURSBXi_LDURSHWi_LDURSHXi_LDURSWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #659
{DBGFIELD("SBFMWri_SBFMXri_UBFMWri_UBFMXri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #660
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr_RBITWr_RBITXr_REV16Wr_REV16Xr_REV32Xr_REVWr_REVXr") 2, false, false, 541, 2, 2, 1, 0, 1}, // #661
{DBGFIELD("SMADDLrrr_SMSUBLrrr_UMADDLrrr_UMSUBLrrr") 3, false, false, 541, 2, 1, 1, 0, 0}, // #662
{DBGFIELD("MADDWrrr_MSUBWrrr") 3, false, false, 541, 2, 287, 1, 0, 0}, // #663
{DBGFIELD("MADDXrrr_MSUBXrrr") 3, false, false, 541, 2, 287, 1, 0, 0}, // #664
{DBGFIELD("SDIVWr_UDIVWr") 4, false, false, 1099, 5, 326, 1, 0, 0}, // #665
{DBGFIELD("SDIVXr_UDIVXr") 4, false, false, 1104, 5, 327, 1, 0, 0}, // #666
{DBGFIELD("ASRVWr_ASRVXr_LSLVWr_LSLVXr_LSRVWr_LSRVXr_RORVWr_RORVXr") 2, false, false, 541, 2, 6, 1, 0, 1}, // #667
{DBGFIELD("MOVKWi_MOVKXi") 2, false, false, 541, 2, 5, 1, 0, 0}, // #668
{DBGFIELD("ADR_ADRP") 2, false, false, 541, 2, 2, 1, 0, 0}, // #669
{DBGFIELD("MOVNWi_MOVNXi") 2, false, false, 541, 2, 5, 1, 0, 0}, // #670
{DBGFIELD("MOVi32imm_MOVi64imm") 2, false, false, 541, 2, 18, 1, 0, 0}, // #671
{DBGFIELD("MOVaddr_MOVaddrBA_MOVaddrCP_MOVaddrEXT_MOVaddrJT_MOVaddrTLS") 4, false, false, 1079, 2, 1, 1, 0, 0}, // #672
{DBGFIELD("LOADgot") 6, false, false, 1096, 3, 10, 1, 0, 0}, // #673
{DBGFIELD("CLREX_DMB_DSB") 1, false, false, 0, 0, 5, 1, 0, 0}, // #674
{DBGFIELD("BRK_DCPS1_DCPS2_DCPS3_HLT_HVC_SMC_SVC") 1, false, false, 0, 0, 5, 1, 0, 0}, // #675
{DBGFIELD("HINT") 1, false, false, 0, 0, 5, 1, 0, 0}, // #676
{DBGFIELD("SYSxt_SYSLxt") 1, false, false, 0, 0, 5, 1, 0, 0}, // #677
{DBGFIELD("MSRpstateImm1_MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #678
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX_LDXRB_LDXRH_LDXRW_LDXRX") 4, false, false, 912, 2, 8, 1, 0, 0}, // #679
{DBGFIELD("LDAXPW_LDAXPX_LDXPW_LDXPX") 9, false, false, 912, 2, 321, 2, 0, 0}, // #680
{DBGFIELD("MRS_MOVbaseTLS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #681
{DBGFIELD("DRPS") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #682
{DBGFIELD("MSR") 1, false, false, 0, 0, 5, 1, 0, 0}, // #683
{DBGFIELD("STNPWi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #684
{DBGFIELD("ERET") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #685
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRALX_LDCLRAW_LDCLRAX_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRLX_LDCLRW_LDCLRX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #686
{DBGFIELD("STLRB_STLRH_STLRW_STLRX") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #687
{DBGFIELD("STXPW_STXPX") 6, false, false, 1113, 3, 10, 1, 0, 0}, // #688
{DBGFIELD("STXRB_STXRH_STXRW_STXRX") 6, false, false, 1113, 3, 10, 1, 0, 0}, // #689
{DBGFIELD("STLXPW_STLXPX") 6, false, false, 1113, 3, 10, 1, 0, 0}, // #690
{DBGFIELD("STLXRB_STLXRH_STLXRW_STLXRX") 6, false, false, 1113, 3, 10, 1, 0, 0}, // #691
{DBGFIELD("STPWi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #692
{DBGFIELD("STRBBui_STRHHui_STRWui_STRXui") 2, false, false, 1093, 3, 5, 1, 0, 0}, // #693
{DBGFIELD("STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #694
{DBGFIELD("STTRBi_STTRHi_STTRWi_STTRXi") 3, false, false, 1096, 3, 266, 2, 0, 0}, // #695
{DBGFIELD("STURBBi_STURHHi_STURWi_STURXi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #696
{DBGFIELD("ABSv2i32_ABSv4i16_ABSv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #697
{DBGFIELD("SCVTFSWDri_SCVTFSWSri_SCVTFSXDri_SCVTFSXSri_UCVTFSWDri_UCVTFSWSri_UCVTFSXDri_UCVTFSXSri") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #698
{DBGFIELD("SHADDv2i32_SHADDv4i16_SHADDv8i8_SHSUBv2i32_SHSUBv4i16_SHSUBv8i8_UHADDv2i32_UHADDv4i16_UHADDv8i8_UHSUBv2i32_UHSUBv4i16_UHSUBv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #699
{DBGFIELD("SQDMLALv1i32_indexed_SQDMLALv1i64_indexed_SQDMLSLv1i32_indexed_SQDMLSLv1i64_indexed") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #700
{DBGFIELD("SQADDv2i32_SQADDv4i16_SQADDv8i8_UQADDv2i32_UQADDv4i16_UQADDv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #701
{DBGFIELD("SUQADDv1i16_SUQADDv1i32_SUQADDv1i64_SUQADDv1i8_USQADDv1i16_USQADDv1i32_USQADDv1i64_USQADDv1i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #702
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_SQSHRNv16i8_shift_SQSHRNv4i32_shift_SQSHRNv8i16_shift_SQSHRUNv16i8_shift_SQSHRUNv4i32_shift_SQSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift_UQSHRNv16i8_shift_UQSHRNv4i32_shift_UQSHRNv8i16_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #703
{DBGFIELD("SQRSHRNB_ZZI_B_SQRSHRNB_ZZI_H_SQRSHRNB_ZZI_S_SQRSHRNT_ZZI_B_SQRSHRNT_ZZI_H_SQRSHRNT_ZZI_S_SQRSHRUNB_ZZI_B_SQRSHRUNB_ZZI_H_SQRSHRUNB_ZZI_S_SQRSHRUNT_ZZI_B_SQRSHRUNT_ZZI_H_SQRSHRUNT_ZZI_S_SQSHRNB_ZZI_B_SQSHRNB_ZZI_H_SQSHRNB_ZZI_S_SQSHRNT_ZZI_B_SQSHRNT_ZZI_H_SQSHRNT_ZZI_S_SQSHRUNB_ZZI_B_SQSHRUNB_ZZI_H_SQSHRUNB_ZZI_S_SQSHRUNT_ZZI_B_SQSHRUNT_ZZI_H_SQSHRUNT_ZZI_S_UQRSHRNB_ZZI_B_UQRSHRNB_ZZI_H_UQRSHRNB_ZZI_S_UQRSHRNT_ZZI_B_UQRSHRNT_ZZI_H_UQRSHRNT_ZZI_S_UQSHRNB_ZZI_B_UQSHRNB_ZZI_H_UQSHRNB_ZZI_S_UQSHRNT_ZZI_B_UQSHRNT_ZZI_H_UQSHRNT_ZZI_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #704
{DBGFIELD("SQXTNv16i8_SQXTNv2i32_SQXTNv4i16_SQXTNv4i32_SQXTNv8i16_SQXTNv8i8_SQXTUNv16i8_SQXTUNv2i32_SQXTUNv4i16_SQXTUNv4i32_SQXTUNv8i16_SQXTUNv8i8_UQXTNv16i8_UQXTNv2i32_UQXTNv4i16_UQXTNv4i32_UQXTNv8i16_UQXTNv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #705
{DBGFIELD("SMAXVv8i8v_SMINVv8i8v_UMAXVv8i8v_UMINVv8i8v") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #706
{DBGFIELD("ADCLB_ZZZ_D_ADCLB_ZZZ_S_ADCLT_ZZZ_D_ADCLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #707
{DBGFIELD("ADR_LSL_ZZZ_D_0_ADR_LSL_ZZZ_D_1_ADR_LSL_ZZZ_D_2_ADR_LSL_ZZZ_D_3_ADR_LSL_ZZZ_S_0_ADR_LSL_ZZZ_S_1_ADR_LSL_ZZZ_S_2_ADR_LSL_ZZZ_S_3_ADR_SXTW_ZZZ_D_0_ADR_SXTW_ZZZ_D_1_ADR_SXTW_ZZZ_D_2_ADR_SXTW_ZZZ_D_3_ADR_UXTW_ZZZ_D_0_ADR_UXTW_ZZZ_D_1_ADR_UXTW_ZZZ_D_2_ADR_UXTW_ZZZ_D_3") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #708
{DBGFIELD("ADDv1i64") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #709
{DBGFIELD("SUBv16i8_SUBv2i64_SUBv4i32_SUBv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #710
{DBGFIELD("ANDSWri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #711
{DBGFIELD("ANDSWrr_ANDWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #712
{DBGFIELD("ANDSWrs_ANDWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #713
{DBGFIELD("ANDWri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #714
{DBGFIELD("BICSWrr_BICWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #715
{DBGFIELD("BICSWrs_BICWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #716
{DBGFIELD("EONWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #717
{DBGFIELD("EONWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #718
{DBGFIELD("EORWri") 2, false, false, 541, 2, 2, 1, 0, 1}, // #719
{DBGFIELD("EORWrr") 2, false, false, 541, 2, 2, 1, 1, 2}, // #720
{DBGFIELD("EORWrs") 2, false, false, 1079, 2, 3, 1, 1, 2}, // #721
{DBGFIELD("ORNWrr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #722
{DBGFIELD("ORNWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #723
{DBGFIELD("ORRWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #724
{DBGFIELD("ORRWri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #725
{DBGFIELD("CLSWr_CLSXr_CLZWr_CLZXr") 2, false, false, 1134, 5, 137, 1, 0, 0}, // #726
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16_CNTv16i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #727
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8_CNTv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #728
{DBGFIELD("CSELWr_CSELXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #729
{DBGFIELD("CSINCWr_CSINCXr_CSNEGWr_CSNEGXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #730
{DBGFIELD("FCMEQv2f32_FCMGTv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #731
{DBGFIELD("FCMGEv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #732
{DBGFIELD("FABDv2f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #733
{DBGFIELD("FCMEQv1i32rz_FCMEQv1i64rz_FCMGTv1i32rz_FCMGTv1i64rz_FCMLEv1i32rz_FCMLEv1i64rz_FCMLTv1i32rz_FCMLTv1i64rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #734
{DBGFIELD("FCMGEv1i32rz_FCMGEv1i64rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #735
{DBGFIELD("FCVTASUWDr_FCVTASUWSr_FCVTASUXDr_FCVTASUXSr_FCVTAUUWDr_FCVTAUUWSr_FCVTAUUXDr_FCVTAUUXSr_FCVTMSUWDr_FCVTMSUWSr_FCVTMSUXDr_FCVTMSUXSr_FCVTMUUWDr_FCVTMUUWSr_FCVTMUUXDr_FCVTMUUXSr_FCVTNSUWDr_FCVTNSUWSr_FCVTNSUXDr_FCVTNSUXSr_FCVTNUUWDr_FCVTNUUWSr_FCVTNUUXDr_FCVTNUUXSr_FCVTPSUWDr_FCVTPSUWSr_FCVTPSUXDr_FCVTPSUXSr_FCVTPUUWDr_FCVTPUUWSr_FCVTPUUXDr_FCVTPUUXSr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #736
{DBGFIELD("FCVTASv1i32_FCVTASv1i64_FCVTASv2f32_FCVTAUv1i32_FCVTAUv1i64_FCVTAUv2f32_FCVTMSv1i32_FCVTMSv1i64_FCVTMSv2f32_FCVTMUv1i32_FCVTMUv1i64_FCVTMUv2f32_FCVTNSv1i32_FCVTNSv1i64_FCVTNSv2f32_FCVTNUv1i32_FCVTNUv1i64_FCVTNUv2f32_FCVTPSv1i32_FCVTPSv1i64_FCVTPSv2f32_FCVTPUv1i32_FCVTPUv1i64_FCVTPUv2f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #737
{DBGFIELD("FCVTASv2f64_FCVTASv4f32_FCVTAUv2f64_FCVTAUv4f32_FCVTMSv2f64_FCVTMSv4f32_FCVTMUv2f64_FCVTMUv4f32_FCVTNSv2f64_FCVTNSv4f32_FCVTNUv2f64_FCVTNUv4f32_FCVTPSv2f64_FCVTPSv4f32_FCVTPUv2f64_FCVTPUv4f32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #738
{DBGFIELD("FMLAv2f32_FMLAv1i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #739
{DBGFIELD("FMLSv2f32_FMLSv1i32_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #740
{DBGFIELD("FMLSv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #741
{DBGFIELD("FMLAv2f64_FMLSv2f64") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #742
{DBGFIELD("FMOVDXHighr_FMOVDXr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #743
{DBGFIELD("FMOVXDHighr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #744
{DBGFIELD("FMULv1i32_indexed_FMULXv1i32_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #745
{DBGFIELD("FRECPEv1i32_FRECPEv1i64") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #746
{DBGFIELD("FRSQRTEv1i32") 4, false, false, 1128, 3, 214, 1, 0, 0}, // #747
{DBGFIELD("LDARB_LDARH_LDARW_LDARX_LDAXRB_LDAXRH_LDAXRW_LDAXRX") 4, false, false, 912, 2, 8, 1, 0, 0}, // #748
{DBGFIELD("LDAXPW_LDAXPX") 9, false, false, 912, 2, 321, 2, 0, 0}, // #749
{DBGFIELD("LSLVWr_LSLVXr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #750
{DBGFIELD("MRS") 1, false, false, 0, 0, 5, 1, 0, 0}, // #751
{DBGFIELD("MSRpstateImm4") 1, false, false, 0, 0, 5, 1, 0, 0}, // #752
{DBGFIELD("RBITWr_RBITXr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #753
{DBGFIELD("REV16v8i8_REV32v4i16_REV32v8i8_REV64v2i32_REV64v4i16_REV64v8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #754
{DBGFIELD("SQABSv1i16_SQABSv1i32_SQABSv1i64_SQABSv1i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #755
{DBGFIELD("TRN1v2i64_TRN2v2i64") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #756
{DBGFIELD("UZP1v2i64_UZP2v2i64_ZIP1v2i64_ZIP2v16i8_ZIP2v2i64_ZIP2v4i32_ZIP2v8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #757
{DBGFIELD("TRN1v16i8_TRN1v4i32_TRN1v8i16_TRN2v16i8_TRN2v4i32_TRN2v8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #758
{DBGFIELD("TRN1v2i32_TRN1v4i16_TRN1v8i8_TRN2v2i32_TRN2v4i16_TRN2v8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #759
{DBGFIELD("UZP1v16i8_UZP1v4i32_UZP1v8i16_UZP2v16i8_UZP2v4i32_UZP2v8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #760
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8_ZIP1v2i32_ZIP1v4i16_ZIP1v8i8_ZIP2v2i32_ZIP2v4i16_ZIP2v8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #761
{DBGFIELD("CBNZW_CBNZX_CBZW_CBZX") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #762
{DBGFIELD("ADDWrs_ADDXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #763
{DBGFIELD("ANDWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #764
{DBGFIELD("ANDXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #765
{DBGFIELD("BICWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #766
{DBGFIELD("BICXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #767
{DBGFIELD("SUBWrs_SUBXrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #768
{DBGFIELD("ADDWri_ADDXri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #769
{DBGFIELD("LDRBBroW_LDRWroW_LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #770
{DBGFIELD("LDRSBWroW_LDRSBXroW_LDRSWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #771
{DBGFIELD("PRFMroW") 4, false, false, 1096, 3, 21, 1, 0, 0}, // #772
{DBGFIELD("STRBBroW_STRWroW_STRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #773
{DBGFIELD("FABSDr_FABSSr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #774
{DBGFIELD("FCVTASUWHr_FCVTASUXHr_FCVTAUUWHr_FCVTAUUXHr_FCVTMSUWHr_FCVTMSUXHr_FCVTMUUWHr_FCVTMUUXHr_FCVTNSUWHr_FCVTNSUXHr_FCVTNUUWHr_FCVTNUUXHr_FCVTPSUWHr_FCVTPSUXHr_FCVTPUUWHr_FCVTPUUXHr_FCVTZSUWHr_FCVTZSUXHr_FCVTZUUWHr_FCVTZUUXHr") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #775
{DBGFIELD("FCVTZSh_FCVTZUh") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #776
{DBGFIELD("FRECPEv1f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #777
{DBGFIELD("FRSQRTEv1f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #778
{DBGFIELD("FRECPXv1f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #779
{DBGFIELD("FRECPS16_FRSQRTS16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #780
{DBGFIELD("FMOVDXr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #781
{DBGFIELD("STRDroW_STRSroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #782
{DBGFIELD("MVNIv2i32_MVNIv2s_msl_MVNIv4i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #783
{DBGFIELD("MVNIv4i32_MVNIv4s_msl_MVNIv8i16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #784
{DBGFIELD("SMAXv16i8_SMAXv4i32_SMAXv8i16_SMINv16i8_SMINv4i32_SMINv8i16_UMAXv16i8_UMAXv4i32_UMAXv8i16_UMINv16i8_UMINv4i32_UMINv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #785
{DBGFIELD("SMAXv2i32_SMAXv4i16_SMAXv8i8_SMINv2i32_SMINv4i16_SMINv8i8_UMAXv2i32_UMAXv4i16_UMAXv8i8_UMINv2i32_UMINv4i16_UMINv8i8") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #786
{DBGFIELD("SQDMLALBT_ZZZ_D_SQDMLALBT_ZZZ_H_SQDMLALBT_ZZZ_S_SQDMLALB_ZZZI_D_SQDMLALB_ZZZI_S_SQDMLALB_ZZZ_D_SQDMLALB_ZZZ_H_SQDMLALB_ZZZ_S_SQDMLALT_ZZZI_D_SQDMLALT_ZZZI_S_SQDMLALT_ZZZ_D_SQDMLALT_ZZZ_H_SQDMLALT_ZZZ_S_SQDMLSLBT_ZZZ_D_SQDMLSLBT_ZZZ_H_SQDMLSLBT_ZZZ_S_SQDMLSLB_ZZZI_D_SQDMLSLB_ZZZI_S_SQDMLSLB_ZZZ_D_SQDMLSLB_ZZZ_H_SQDMLSLB_ZZZ_S_SQDMLSLT_ZZZI_D_SQDMLSLT_ZZZI_S_SQDMLSLT_ZZZ_D_SQDMLSLT_ZZZ_H_SQDMLSLT_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #787
{DBGFIELD("SRId_SRIv2i32_shift_SRIv4i16_shift_SRIv8i8_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #788
{DBGFIELD("SRIv16i8_shift_SRIv2i64_shift_SRIv4i32_shift_SRIv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #789
{DBGFIELD("SQRSHRNb_SQRSHRNh_SQRSHRNs_SQRSHRUNb_SQRSHRUNh_SQRSHRUNs_UQRSHRNb_UQRSHRNh_UQRSHRNs") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #790
{DBGFIELD("SQRSHRNv16i8_shift_SQRSHRNv4i32_shift_SQRSHRNv8i16_shift_SQRSHRUNv16i8_shift_SQRSHRUNv4i32_shift_SQRSHRUNv8i16_shift_UQRSHRNv16i8_shift_UQRSHRNv4i32_shift_UQRSHRNv8i16_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #791
{DBGFIELD("SQRSHRNv2i32_shift_SQRSHRNv4i16_shift_SQRSHRNv8i8_shift_SQRSHRUNv2i32_shift_SQRSHRUNv4i16_shift_SQRSHRUNv8i8_shift_UQRSHRNv2i32_shift_UQRSHRNv4i16_shift_UQRSHRNv8i8_shift") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #792
{DBGFIELD("FABSv2f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #793
{DBGFIELD("FABSv2f64_FABSv4f32") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #794
{DBGFIELD("FABSv4f16_FABSv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #795
{DBGFIELD("FABDv4f16_FABDv8f16_FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #796
{DBGFIELD("FADDP_ZPmZZ_D_FADDP_ZPmZZ_H_FADDP_ZPmZZ_S") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #797
{DBGFIELD("FADDPv2i16p_FADDPv4f16_FADDPv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #798
{DBGFIELD("FACGEv4f16_FACGEv8f16_FACGTv4f16_FACGTv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #799
{DBGFIELD("FCMEQv4f16_FCMEQv4i16rz_FCMEQv8f16_FCMEQv8i16rz_FCMGTv4f16_FCMGTv4i16rz_FCMGTv8f16_FCMGTv8i16rz_FCMLEv4i16rz_FCMLEv8i16rz_FCMLTv4i16rz_FCMLTv8i16rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #800
{DBGFIELD("FCMGEv4f16_FCMGEv4i16rz_FCMGEv8f16_FCMGEv8i16rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #801
{DBGFIELD("FCVTASv1f16_FCVTASv4f16_FCVTASv8f16_FCVTAUv1f16_FCVTAUv4f16_FCVTAUv8f16_FCVTMSv1f16_FCVTMSv4f16_FCVTMSv8f16_FCVTMUv1f16_FCVTMUv4f16_FCVTMUv8f16_FCVTNSv1f16_FCVTNSv4f16_FCVTNSv8f16_FCVTNUv1f16_FCVTNUv4f16_FCVTNUv8f16_FCVTPSv1f16_FCVTPSv4f16_FCVTPSv8f16_FCVTPUv1f16_FCVTPUv4f16_FCVTPUv8f16_FCVTZSv1f16_FCVTZSv4f16_FCVTZSv4i16_shift_FCVTZSv8f16_FCVTZSv8i16_shift_FCVTZUv1f16_FCVTZUv4f16_FCVTZUv4i16_shift_FCVTZUv8f16_FCVTZUv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #802
{DBGFIELD("SCVTFv1i16_SCVTFv4f16_SCVTFv4i16_shift_SCVTFv8f16_SCVTFv8i16_shift_UCVTFv1i16_UCVTFv4f16_UCVTFv4i16_shift_UCVTFv8f16_UCVTFv8i16_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #803
{DBGFIELD("SCVTFv1i32_SCVTFv1i64_SCVTFv2f32_SCVTFv2i32_shift_UCVTFv1i32_UCVTFv1i64_UCVTFv2f32_UCVTFv2i32_shift") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #804
{DBGFIELD("FMAXNMv4f16_FMAXNMv8f16_FMAXv4f16_FMAXv8f16_FMINNMv4f16_FMINNMv8f16_FMINv4f16_FMINv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #805
{DBGFIELD("FMAXNMPv4f16_FMAXPv4f16_FMINNMPv4f16_FMINPv4f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #806
{DBGFIELD("FMAXNMPv8f16_FMAXPv8f16_FMINNMPv8f16_FMINPv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #807
{DBGFIELD("FMULXv1i16_indexed_FMULXv4f16_FMULXv4i16_indexed_FMULXv8f16_FMULXv8i16_indexed_FMULv1i16_indexed_FMULv4f16_FMULv4i16_indexed_FMULv8f16_FMULv8i16_indexed") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #808
{DBGFIELD("FMLAv2f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #809
{DBGFIELD("FMLAv4f16_FMLAv8f16_FMLSv4f16_FMLSv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #810
{DBGFIELD("FMLSv2f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #811
{DBGFIELD("FMLAv1i16_indexed_FMLAv4i16_indexed_FMLAv8i16_indexed_FMLSv1i16_indexed_FMLSv4i16_indexed_FMLSv8i16_indexed") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #812
{DBGFIELD("FNEGv4f16_FNEGv8f16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #813
{DBGFIELD("FRINTAv4f16_FRINTAv8f16_FRINTIv4f16_FRINTIv8f16_FRINTMv4f16_FRINTMv8f16_FRINTNv4f16_FRINTNv8f16_FRINTPv4f16_FRINTPv8f16_FRINTXv4f16_FRINTXv8f16_FRINTZv4f16_FRINTZv8f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #814
{DBGFIELD("INSvi16lane_INSvi8lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #815
{DBGFIELD("INSvi32lane_INSvi64lane") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #816
{DBGFIELD("FABSHr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #817
{DBGFIELD("FADDHrr_FSUBHrr") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #818
{DBGFIELD("FADDPv2i16p") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #819
{DBGFIELD("FCCMPEHrr_FCCMPHrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #820
{DBGFIELD("FCMPEHri_FCMPEHrr_FCMPHri_FCMPHrr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #821
{DBGFIELD("FCMGE16_FCMGEv1i16rz") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #822
{DBGFIELD("FDIVHrr") 1, false, false, 1087, 3, 302, 1, 0, 0}, // #823
{DBGFIELD("FMULHrr_FNMULHrr") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #824
{DBGFIELD("FMULX16") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #825
{DBGFIELD("FNEGHr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #826
{DBGFIELD("FCSELHrrr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #827
{DBGFIELD("FSQRTHr") 1, false, false, 1087, 3, 302, 1, 0, 0}, // #828
{DBGFIELD("FCVTZSSWHri_FCVTZSSXHri_FCVTZUSWHri_FCVTZUSXHri") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #829
{DBGFIELD("FMOVHi") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #830
{DBGFIELD("FMOVHr") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #831
{DBGFIELD("FMOVWHr_FMOVXHr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #832
{DBGFIELD("FMOVHWr_FMOVHXr") 2, false, false, 1084, 3, 8, 1, 0, 0}, // #833
{DBGFIELD("SQRDMLAH_ZZZI_D_SQRDMLAH_ZZZI_H_SQRDMLAH_ZZZI_S_SQRDMLAH_ZZZ_B_SQRDMLAH_ZZZ_D_SQRDMLAH_ZZZ_H_SQRDMLAH_ZZZ_S_SQRDMLSH_ZZZI_D_SQRDMLSH_ZZZI_H_SQRDMLSH_ZZZI_S_SQRDMLSH_ZZZ_B_SQRDMLSH_ZZZ_D_SQRDMLSH_ZZZ_H_SQRDMLSH_ZZZ_S") 16383, false, false, 0, 0, 0, 0, 0, 0}, // #834
{DBGFIELD("SMLALv2i32_indexed_SMLALv2i32_v2i64_SMLALv4i16_indexed_SMLALv4i16_v4i32_SMLALv8i8_v8i16_SMLSLv2i32_indexed_SMLSLv2i32_v2i64_SMLSLv4i16_indexed_SMLSLv4i16_v4i32_SMLSLv8i8_v8i16_UMLALv2i32_indexed_UMLALv2i32_v2i64_UMLALv4i16_indexed_UMLALv4i16_v4i32_UMLALv8i8_v8i16_UMLSLv2i32_indexed_UMLSLv2i32_v2i64_UMLSLv4i16_indexed_UMLSLv4i16_v4i32_UMLSLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #835
{DBGFIELD("SQDMLALv2i32_indexed_SQDMLALv2i32_v2i64_SQDMLALv4i16_indexed_SQDMLALv4i16_v4i32_SQDMLSLv2i32_indexed_SQDMLSLv2i32_v2i64_SQDMLSLv4i16_indexed_SQDMLSLv4i16_v4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #836
{DBGFIELD("SMULLv2i32_indexed_SMULLv2i32_v2i64_SMULLv4i16_indexed_SMULLv4i16_v4i32_SMULLv8i8_v8i16_UMULLv2i32_indexed_UMULLv2i32_v2i64_UMULLv4i16_indexed_UMULLv4i16_v4i32_UMULLv8i8_v8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #837
{DBGFIELD("SQDMULLv1i32_indexed_SQDMULLv1i64_indexed_SQDMULLv2i32_indexed_SQDMULLv2i32_v2i64_SQDMULLv4i16_indexed_SQDMULLv4i16_v4i32") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #838
{DBGFIELD("SDOTlanev16i8_SDOTlanev8i8_SDOTv16i8_SDOTv8i8_UDOTlanev16i8_UDOTlanev8i8_UDOTv16i8_UDOTv8i8") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #839
{DBGFIELD("FDIVv4f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #840
{DBGFIELD("FDIVv8f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #841
{DBGFIELD("FSQRTv4f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #842
{DBGFIELD("FSQRTv8f16") 4, false, false, 1076, 3, 31, 1, 0, 0}, // #843
{DBGFIELD("CLSv16i8_CLSv4i32_CLSv8i16_CLZv16i8_CLZv4i32_CLZv8i16") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #844
{DBGFIELD("CLSv2i32_CLSv4i16_CLSv8i8_CLZv2i32_CLZv4i16_CLZv8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #845
{DBGFIELD("FMOVv4f16_ns_FMOVv8f16_ns") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #846
{DBGFIELD("PMULLv1i64") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #847
{DBGFIELD("PMULLv8i8") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #848
{DBGFIELD("SHA256H2rrr") 2, false, false, 1134, 5, 31, 1, 0, 0}, // #849
{DBGFIELD("TBNZW_TBZW") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #850
{DBGFIELD("ADCSWr_ADCWr") 2, false, false, 541, 2, 2, 1, 1, 2}, // #851
{DBGFIELD("SBCSWr_SBCWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #852
{DBGFIELD("ADDWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #853
{DBGFIELD("SUBWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #854
{DBGFIELD("ADDSWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #855
{DBGFIELD("SUBSWrs") 2, false, false, 541, 2, 2, 1, 0, 0}, // #856
{DBGFIELD("ADDSWrx_ADDWrx") 2, false, false, 541, 2, 2, 1, 0, 0}, // #857
{DBGFIELD("SUBSWrx_SUBWrx") 2, false, false, 541, 2, 2, 1, 0, 0}, // #858
{DBGFIELD("ADDWri") 2, false, false, 541, 2, 2, 1, 0, 0}, // #859
{DBGFIELD("CCMNWi_CCMPWi") 2, false, false, 541, 2, 2, 1, 0, 0}, // #860
{DBGFIELD("CCMNWr_CCMPWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #861
{DBGFIELD("CSELWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #862
{DBGFIELD("CSINCWr_CSNEGWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #863
{DBGFIELD("CSINVWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #864
{DBGFIELD("ASRVWr_LSRVWr_RORVWr") 2, false, false, 541, 2, 6, 1, 0, 1}, // #865
{DBGFIELD("LSLVWr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #866
{DBGFIELD("BFMWri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #867
{DBGFIELD("SBFMWri_UBFMWri") 2, false, false, 541, 2, 5, 1, 0, 0}, // #868
{DBGFIELD("CLSWr_CLZWr") 2, false, false, 1134, 5, 137, 1, 0, 0}, // #869
{DBGFIELD("RBITWr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #870
{DBGFIELD("REVWr_REV16Wr") 2, false, false, 541, 2, 2, 1, 0, 1}, // #871
{DBGFIELD("CASAB_CASAH_CASALB_CASALH_CASALW_CASAW_CASB_CASH_CASLB_CASLH_CASLW_CASW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #872
{DBGFIELD("CASALX_CASAX_CASLX_CASX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #873
{DBGFIELD("CASPALW_CASPAW_CASPLW_CASPW") 2, false, false, 0, 0, 8, 1, 0, 0}, // #874
{DBGFIELD("CASPALX_CASPAX_CASPLX_CASPX") 2, false, false, 0, 0, 8, 1, 0, 0}, // #875
{DBGFIELD("LDADDAB_LDADDAH_LDADDALB_LDADDALH_LDADDALW_LDADDAW_LDADDB_LDADDH_LDADDLB_LDADDLH_LDADDLW_LDADDW_LDEORAB_LDEORAH_LDEORALB_LDEORALH_LDEORALW_LDEORAW_LDEORB_LDEORH_LDEORLB_LDEORLH_LDEORLW_LDEORW_LDSETAB_LDSETAH_LDSETALB_LDSETALH_LDSETALW_LDSETAW_LDSETB_LDSETH_LDSETLB_LDSETLH_LDSETLW_LDSETW_LDSMAXAB_LDSMAXAH_LDSMAXALB_LDSMAXALH_LDSMAXALW_LDSMAXAW_LDSMAXB_LDSMAXH_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXW_LDSMINAB_LDSMINAH_LDSMINALB_LDSMINALH_LDSMINALW_LDSMINAW_LDSMINB_LDSMINH_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINW_LDUMAXAB_LDUMAXAH_LDUMAXALB_LDUMAXALH_LDUMAXALW_LDUMAXAW_LDUMAXB_LDUMAXH_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXW_LDUMINAB_LDUMINAH_LDUMINALB_LDUMINALH_LDUMINALW_LDUMINAW_LDUMINB_LDUMINH_LDUMINLB_LDUMINLH_LDUMINLW_LDUMINW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #876
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRALB_LDCLRALH_LDCLRALW_LDCLRAW_LDCLRB_LDCLRH_LDCLRLB_LDCLRLH_LDCLRLW_LDCLRW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #877
{DBGFIELD("LDADDALX_LDADDAX_LDADDLX_LDADDX_LDEORALX_LDEORAX_LDEORLX_LDEORX_LDSETALX_LDSETAX_LDSETLX_LDSETX_LDSMAXALX_LDSMAXAX_LDSMAXLX_LDSMAXX_LDSMINALX_LDSMINAX_LDSMINLX_LDSMINX_LDUMAXALX_LDUMAXAX_LDUMAXLX_LDUMAXX_LDUMINALX_LDUMINAX_LDUMINLX_LDUMINX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #878
{DBGFIELD("SWPAB_SWPAH_SWPALB_SWPALH_SWPALW_SWPAW_SWPB_SWPH_SWPLB_SWPLH_SWPLW_SWPW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #879
{DBGFIELD("SWPALX_SWPAX_SWPLX_SWPX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #880
{DBGFIELD("BRK") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #881
{DBGFIELD("CBNZW_CBNZX") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #882
{DBGFIELD("TBNZW") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #883
{DBGFIELD("TBNZX") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #884
{DBGFIELD("BR") 2, false, false, 1081, 3, 5, 1, 0, 0}, // #885
{DBGFIELD("ADCWr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #886
{DBGFIELD("ADCXr") 2, false, false, 541, 2, 2, 1, 0, 0}, // #887
{DBGFIELD("ASRVWr_RORVWr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #888
{DBGFIELD("ASRVXr_RORVXr") 2, false, false, 541, 2, 5, 1, 0, 0}, // #889
{DBGFIELD("PMULLB_ZZZ_D_PMULLB_ZZZ_H_PMULLB_ZZZ_Q_PMULLT_ZZZ_D_PMULLT_ZZZ_H_PMULLT_ZZZ_Q") 2, false, false, 1134, 5, 10, 1, 0, 0}, // #890
{DBGFIELD("CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr") 2, false, false, 1134, 5, 8, 1, 0, 0}, // #891
{DBGFIELD("LDNPWi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #892
{DBGFIELD("LDPWi") 8, false, false, 1139, 3, 32, 2, 0, 0}, // #893
{DBGFIELD("LDRWl") 4, false, false, 912, 2, 8, 1, 0, 0}, // #894
{DBGFIELD("LDTRBi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #895
{DBGFIELD("LDTRHi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #896
{DBGFIELD("LDTRWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #897
{DBGFIELD("LDTRSBWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #898
{DBGFIELD("LDTRSBXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #899
{DBGFIELD("LDTRSHWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #900
{DBGFIELD("LDTRSHXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #901
{DBGFIELD("LDPWpre") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #902
{DBGFIELD("LDRWpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #903
{DBGFIELD("LDRXpre") 5, false, false, 1139, 3, 39, 2, 0, 0}, // #904
{DBGFIELD("LDRSBWpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #905
{DBGFIELD("LDRSBXpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #906
{DBGFIELD("LDRSBWpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #907
{DBGFIELD("LDRSBXpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #908
{DBGFIELD("LDRSHWpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #909
{DBGFIELD("LDRSHXpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #910
{DBGFIELD("LDRSHWpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #911
{DBGFIELD("LDRSHXpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #912
{DBGFIELD("LDRBBpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #913
{DBGFIELD("LDRBBpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #914
{DBGFIELD("LDRHHpre") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #915
{DBGFIELD("LDRHHpost") 5, false, false, 1139, 3, 14, 2, 0, 0}, // #916
{DBGFIELD("LDPWpost") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #917
{DBGFIELD("LDPXpost") 10, false, false, 1139, 3, 43, 3, 0, 0}, // #918
{DBGFIELD("LDRWpost") 5, false, false, 1139, 3, 329, 2, 0, 0}, // #919
{DBGFIELD("LDRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #920
{DBGFIELD("LDRXroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #921
{DBGFIELD("LDRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #922
{DBGFIELD("LDRXroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #923
{DBGFIELD("LDURBBi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #924
{DBGFIELD("LDURHHi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #925
{DBGFIELD("LDURXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #926
{DBGFIELD("LDURSBWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #927
{DBGFIELD("LDURSBXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #928
{DBGFIELD("LDURSHWi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #929
{DBGFIELD("LDURSHXi") 4, false, false, 912, 2, 8, 1, 0, 0}, // #930
{DBGFIELD("PRFMl") 4, false, false, 1096, 3, 21, 1, 0, 0}, // #931
{DBGFIELD("STURBi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #932
{DBGFIELD("STURBBi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #933
{DBGFIELD("STURDi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #934
{DBGFIELD("STURHi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #935
{DBGFIELD("STURHHi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #936
{DBGFIELD("STURWi") 1, false, false, 912, 2, 0, 1, 0, 0}, // #937
{DBGFIELD("STTRBi") 3, false, false, 1096, 3, 266, 2, 0, 0}, // #938
{DBGFIELD("STTRHi") 3, false, false, 1096, 3, 266, 2, 0, 0}, // #939
{DBGFIELD("STTRWi") 3, false, false, 1096, 3, 266, 2, 0, 0}, // #940
{DBGFIELD("STRBui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #941
{DBGFIELD("STRDui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #942
{DBGFIELD("STRHui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #943
{DBGFIELD("STRXui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #944
{DBGFIELD("STRWui") 3, false, false, 1139, 3, 0, 1, 0, 0}, // #945
{DBGFIELD("STRBBroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #946
{DBGFIELD("STRBBroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #947
{DBGFIELD("STRDroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #948
{DBGFIELD("STRDroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #949
{DBGFIELD("STRWroW") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #950
{DBGFIELD("STRWroX") 16382, false, false, 0, 0, 0, 0, 0, 0}, // #951
{DBGFIELD("FADDA_VPZ_D_FADDA_VPZ_H_FADDA_VPZ_S_FADDV_VPZ_D_FADDV_VPZ_H_FADDV_VPZ_S_FADD_ZPmI_D_FADD_ZPmI_H_FADD_ZPmI_S_FADD_ZPmZ_D_FADD_ZPmZ_H_FADD_ZPmZ_S_FADD_ZZZ_D_FADD_ZZZ_H_FADD_ZZZ_S_FSUBR_ZPmI_D_FSUBR_ZPmI_H_FSUBR_ZPmI_S_FSUBR_ZPmZ_D_FSUBR_ZPmZ_H_FSUBR_ZPmZ_S_FSUB_ZPmI_D_FSUB_ZPmI_H_FSUB_ZPmI_S_FSUB_ZPmZ_D_FSUB_ZPmZ_H_FSUB_ZPmZ_S_FSUB_ZZZ_D_FSUB_ZZZ_H_FSUB_ZZZ_S") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #952
{DBGFIELD("FADDv2f64_FSUBv2f64") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #953
{DBGFIELD("FADDv4f16_FADDv8f16_FSUBv4f16_FSUBv8f16") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #954
{DBGFIELD("FADDv4f32_FSUBv4f32") 3, false, false, 1084, 3, 21, 1, 0, 0}, // #955
{DBGFIELD("FMULX_ZPmZ_D_FMULX_ZPmZ_H_FMULX_ZPmZ_S_FMUL_ZPmI_D_FMUL_ZPmI_H_FMUL_ZPmI_S_FMUL_ZPmZ_D_FMUL_ZPmZ_H_FMUL_ZPmZ_S_FMUL_ZZZI_D_FMUL_ZZZI_H_FMUL_ZZZI_S_FMUL_ZZZ_D_FMUL_ZZZ_H_FMUL_ZZZ_S") 3, false, false, 1090, 3, 21, 1, 0, 0}, // #956
{DBGFIELD("SQADD_ZI_B_SQADD_ZI_D_SQADD_ZI_H_SQADD_ZI_S_SQADD_ZPmZ_B_SQADD_ZPmZ_D_SQADD_ZPmZ_H_SQADD_ZPmZ_S_SQADD_ZZZ_B_SQADD_ZZZ_D_SQADD_ZZZ_H_SQADD_ZZZ_S_SQNEG_ZPmZ_B_SQNEG_ZPmZ_D_SQNEG_ZPmZ_H_SQNEG_ZPmZ_S_SQSUBR_ZPmZ_B_SQSUBR_ZPmZ_D_SQSUBR_ZPmZ_H_SQSUBR_ZPmZ_S_SQSUB_ZI_B_SQSUB_ZI_D_SQSUB_ZI_H_SQSUB_ZI_S_SQSUB_ZPmZ_B_SQSUB_ZPmZ_D_SQSUB_ZPmZ_H_SQSUB_ZPmZ_S_SQSUB_ZZZ_B_SQSUB_ZZZ_D_SQSUB_ZZZ_H_SQSUB_ZZZ_S_SRHADD_ZPmZ_B_SRHADD_ZPmZ_D_SRHADD_ZPmZ_H_SRHADD_ZPmZ_S_SUQADD_ZPmZ_B_SUQADD_ZPmZ_D_SUQADD_ZPmZ_H_SUQADD_ZPmZ_S_UQADD_ZI_B_UQADD_ZI_D_UQADD_ZI_H_UQADD_ZI_S_UQADD_ZPmZ_B_UQADD_ZPmZ_D_UQADD_ZPmZ_H_UQADD_ZPmZ_S_UQADD_ZZZ_B_UQADD_ZZZ_D_UQADD_ZZZ_H_UQADD_ZZZ_S_UQSUBR_ZPmZ_B_UQSUBR_ZPmZ_D_UQSUBR_ZPmZ_H_UQSUBR_ZPmZ_S_UQSUB_ZI_B_UQSUB_ZI_D_UQSUB_ZI_H_UQSUB_ZI_S_UQSUB_ZPmZ_B_UQSUB_ZPmZ_D_UQSUB_ZPmZ_H_UQSUB_ZPmZ_S_UQSUB_ZZZ_B_UQSUB_ZZZ_D_UQSUB_ZZZ_H_UQSUB_ZZZ_S_URHADD_ZPmZ_B_URHADD_ZPmZ_D_URHADD_ZPmZ_H_URHADD_ZPmZ_S_USQADD_ZPmZ_B_USQADD_ZPmZ_D_USQADD_ZPmZ_H_USQADD_ZPmZ_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #957
{DBGFIELD("SQNEGv16i8_SQNEGv2i64_SQNEGv4i32_SQNEGv8i16") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #958
{DBGFIELD("SQABS_ZPmZ_B_SQABS_ZPmZ_D_SQABS_ZPmZ_H_SQABS_ZPmZ_S") 3, false, false, 1084, 3, 31, 1, 0, 0}, // #959
{DBGFIELD("FCMEQv1i16rz_FCMGTv1i16rz_FCMLEv1i16rz_FCMLTv1i16rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #960
{DBGFIELD("FCMGEv1i16rz") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #961
{DBGFIELD("MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #962
{DBGFIELD("UZP1v2i32_UZP1v4i16_UZP1v8i8_UZP2v2i32_UZP2v4i16_UZP2v8i8") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #963
{DBGFIELD("UZP1v2i64_UZP2v2i64") 2, false, false, 1084, 3, 10, 1, 0, 0}, // #964
{DBGFIELD("CASB_CASH_CASW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #965
{DBGFIELD("CASX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #966
{DBGFIELD("CASAB_CASAH_CASAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #967
{DBGFIELD("CASAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #968
{DBGFIELD("CASLB_CASLH_CASLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #969
{DBGFIELD("CASLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #970
{DBGFIELD("LDLARB_LDLARH_LDLARW_LDLARX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #971
{DBGFIELD("LDADDB_LDADDH_LDADDW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #972
{DBGFIELD("LDADDX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #973
{DBGFIELD("LDADDAB_LDADDAH_LDADDAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #974
{DBGFIELD("LDADDAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #975
{DBGFIELD("LDADDLB_LDADDLH_LDADDLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #976
{DBGFIELD("LDADDLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #977
{DBGFIELD("LDADDALB_LDADDALH_LDADDALW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #978
{DBGFIELD("LDADDALX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #979
{DBGFIELD("LDCLRB_LDCLRH_LDCLRW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #980
{DBGFIELD("LDCLRX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #981
{DBGFIELD("LDCLRAB_LDCLRAH_LDCLRAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #982
{DBGFIELD("LDCLRAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #983
{DBGFIELD("LDCLRLB_LDCLRLH_LDCLRLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #984
{DBGFIELD("LDCLRLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #985
{DBGFIELD("LDEORB_LDEORH_LDEORW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #986
{DBGFIELD("LDEORX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #987
{DBGFIELD("LDEORAB_LDEORAH_LDEORAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #988
{DBGFIELD("LDEORAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #989
{DBGFIELD("LDEORLB_LDEORLH_LDEORLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #990
{DBGFIELD("LDEORLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #991
{DBGFIELD("LDEORALB_LDEORALH_LDEORALW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #992
{DBGFIELD("LDEORALX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #993
{DBGFIELD("LDSETB_LDSETH_LDSETW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #994
{DBGFIELD("LDSETX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #995
{DBGFIELD("LDSETAB_LDSETAH_LDSETAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #996
{DBGFIELD("LDSETAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #997
{DBGFIELD("LDSETLB_LDSETLH_LDSETLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #998
{DBGFIELD("LDSETLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #999
{DBGFIELD("LDSETALB_LDSETALH_LDSETALW") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #1000
{DBGFIELD("LDSETALX") 10, false, false, 1096, 3, 331, 2, 0, 0}, // #1001
{DBGFIELD("LDSMAXB_LDSMAXH_LDSMAXW_LDSMAXAB_LDSMAXAH_LDSMAXAW_LDSMAXLB_LDSMAXLH_LDSMAXLW_LDSMAXALB_LDSMAXALH_LDSMAXALW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1002
{DBGFIELD("LDSMAXX_LDSMAXAX_LDSMAXLX_LDSMAXALX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1003
{DBGFIELD("LDSMINB_LDSMINH_LDSMINW_LDSMINAB_LDSMINAH_LDSMINAW_LDSMINLB_LDSMINLH_LDSMINLW_LDSMINALB_LDSMINALH_LDSMINALW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1004
{DBGFIELD("LDSMINX_LDSMINAX_LDSMINLX_LDSMINALX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1005
{DBGFIELD("LDUMAXB_LDUMAXH_LDUMAXW_LDUMAXAB_LDUMAXAH_LDUMAXAW_LDUMAXLB_LDUMAXLH_LDUMAXLW_LDUMAXALB_LDUMAXALH_LDUMAXALW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1006
{DBGFIELD("LDUMAXX_LDUMAXAX_LDUMAXLX_LDUMAXALX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1007
{DBGFIELD("SWPB_SWPH_SWPW") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1008
{DBGFIELD("SWPX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1009
{DBGFIELD("SWPAB_SWPAH_SWPAW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #1010
{DBGFIELD("SWPAX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #1011
{DBGFIELD("SWPLB_SWPLH_SWPLW") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #1012
{DBGFIELD("SWPLX") 8, false, false, 1096, 3, 335, 2, 0, 0}, // #1013
{DBGFIELD("STLLRB_STLLRH_STLLRW_STLLRX") 6, false, false, 1096, 3, 333, 2, 0, 0}, // #1014
{DBGFIELD("WriteISReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1015
{DBGFIELD("WriteISReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1016
{DBGFIELD("WriteISReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1017
{DBGFIELD("WriteISReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1018
{DBGFIELD("WriteIEReg_ReadI_A53ReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1019
{DBGFIELD("WriteIEReg_ReadI_A53ReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1020
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1021
{DBGFIELD("WriteIEReg_ReadI_THXT8XReadNotShifted") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1022
{DBGFIELD("M3WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1023
{DBGFIELD("M3WriteAA_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1024
{DBGFIELD("M4WriteA1_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1025
{DBGFIELD("M4WriteAF_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1026
{DBGFIELD("M5WriteA1W_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1027
{DBGFIELD("M5WriteAFW_ReadExtrHi") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1028
{DBGFIELD("(WriteIS_WriteLD)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1029
{DBGFIELD("WriteLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1030
{DBGFIELD("M4WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1031
{DBGFIELD("M4WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1032
{DBGFIELD("M5WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1033
{DBGFIELD("M5WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1034
{DBGFIELD("THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault") 3, false, false, 1139, 3, 21, 1, 0, 0}, // #1035
{DBGFIELD("THX2T99Write_5Cyc_LS01_I012_ReadDefault") 3, false, false, 1096, 3, 10, 1, 0, 0}, // #1036
{DBGFIELD("(WriteIS_WriteST)_ReadBaseRS") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1037
{DBGFIELD("WriteST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1038
{DBGFIELD("M4WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1039
{DBGFIELD("M4WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1040
{DBGFIELD("M5WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1041
{DBGFIELD("M5WriteS1_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1042
{DBGFIELD("WriteX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1043
{DBGFIELD("WriteI") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1044
{DBGFIELD("M3WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1045
{DBGFIELD("M3WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1046
{DBGFIELD("M4WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1047
{DBGFIELD("M4WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1048
{DBGFIELD("M5WriteNALU2") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1049
{DBGFIELD("M5WriteZ0") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1050
{DBGFIELD("M3WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1051
{DBGFIELD("M3WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1052
{DBGFIELD("M4WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1053
{DBGFIELD("M4WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1054
{DBGFIELD("M5WriteAC") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1055
{DBGFIELD("M5WriteAB") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1056
{DBGFIELD("WriteISReg") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1057
{DBGFIELD("FalkorWr_1XYZ_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1058
{DBGFIELD("FalkorWr_2XYZ_2cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1059
{DBGFIELD("M3WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1060
{DBGFIELD("M3WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1061
{DBGFIELD("M4WriteA1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1062
{DBGFIELD("M4WriteAA") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1063
{DBGFIELD("M5WriteA1X") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1064
{DBGFIELD("M5WriteAAX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1065
{DBGFIELD("M5WriteA1W") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1066
{DBGFIELD("M5WriteAFW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1067
{DBGFIELD("M5WriteAFX") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1068
{DBGFIELD("M4WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1069
{DBGFIELD("M4WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1070
{DBGFIELD("M5WriteNEONO") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1071
{DBGFIELD("M5WriteNEONN") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1072
{DBGFIELD("FalkorWr_1LD_3cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1073
{DBGFIELD("FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1074
{DBGFIELD("M3WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1075
{DBGFIELD("M4WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1076
{DBGFIELD("M5WriteLE_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1077
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_ReadDefault") 3, false, false, 1096, 3, 8, 1, 0, 0}, // #1078
{DBGFIELD("THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault") 3, false, false, 1139, 3, 8, 1, 0, 0}, // #1079
{DBGFIELD("WriteVLD_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1080
{DBGFIELD("M3WriteLB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1081
{DBGFIELD("M3WriteL5_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1082
{DBGFIELD("M3WriteL4_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1083
{DBGFIELD("M5WriteL6_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1084
{DBGFIELD("FalkorWr_1LD_4cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1085
{DBGFIELD("FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1086
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault") 4, false, false, 1139, 3, 266, 2, 0, 0}, // #1087
{DBGFIELD("WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault") 5, false, false, 1142, 3, 266, 2, 0, 0}, // #1088
{DBGFIELD("FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1089
{DBGFIELD("FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1090
{DBGFIELD("M3WriteSA_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1091
{DBGFIELD("M4WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1092
{DBGFIELD("WriteVST_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1093
{DBGFIELD("FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1094
{DBGFIELD("FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1095
{DBGFIELD("M3WriteSB_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1096
{DBGFIELD("FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1097
{DBGFIELD("FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1098
{DBGFIELD("M5WriteVSTK_ReadDefault") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1099
{DBGFIELD("WriteImm") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1100
{DBGFIELD("FalkorWr_1none_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1101
{DBGFIELD("FalkorWr_1XYZB_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1102
{DBGFIELD("WriteV") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1103
{DBGFIELD("FalkorWr_1XYZ_0cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1104
{DBGFIELD("M5WriteNALU1") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1105
{DBGFIELD("FalkorWr_1GTOV_1cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1106
{DBGFIELD("FalkorWr_1ST_3cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1107
{DBGFIELD("FalkorWr_1XYZ_1ST_4cyc") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1108
{DBGFIELD("M5WriteAAW") 0, false, false, 0, 0, 0, 0, 0, 0}, // #1109
}; // ThunderX2T99ModelSchedClasses
#undef DBGFIELD
static const llvm::MCSchedModel NoSchedModel = {
MCSchedModel::DefaultIssueWidth,
MCSchedModel::DefaultMicroOpBufferSize,
MCSchedModel::DefaultLoopMicroOpBufferSize,
MCSchedModel::DefaultLoadLatency,
MCSchedModel::DefaultHighLatency,
MCSchedModel::DefaultMispredictPenalty,
false, // PostRAScheduler
false, // CompleteModel
0, // Processor ID
nullptr, nullptr, 0, 0, // No instruction-level machine model.
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned CycloneModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CycloneModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"CyUnitB", 2, 4, 24, nullptr}, // #1, Super=CyUnitI
{"CyUnitBR", 1, 1, -1, nullptr}, // #2, Super=CyUnitB
{"CyUnitFloatDiv", 2, 0, -1, nullptr}, // #3
{"CyUnitI", 4, 0, 48, nullptr}, // #4
{"CyUnitID", 1, 1, 16, nullptr}, // #5, Super=CyUnitB
{"CyUnitIM", 1, 2, 32, nullptr}, // #6, Super=CyUnitBR
{"CyUnitIS", 2, 4, 24, nullptr}, // #7, Super=CyUnitI
{"CyUnitIntDiv", 1, 0, -1, nullptr}, // #8
{"CyUnitLS", 2, 0, 28, nullptr}, // #9
{"CyUnitV", 3, 0, 48, nullptr}, // #10
{"CyUnitVC", 1, 13, 16, nullptr}, // #11, Super=CyUnitVM
{"CyUnitVD", 1, 10, 16, nullptr}, // #12, Super=CyUnitV
{"CyUnitVM", 2, 10, 32, nullptr}, // #13, Super=CyUnitV
};
static const llvm::MCSchedModel CycloneModel = {
6, // IssueWidth
192, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
16, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
1, // Processor ID
CycloneModelProcResources,
CycloneModelSchedClasses,
14,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned CortexA53ModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexA53ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"A53UnitALU", 2, 0, 0, nullptr}, // #1
{"A53UnitB", 1, 0, 0, nullptr}, // #2
{"A53UnitDiv", 1, 0, 0, nullptr}, // #3
{"A53UnitFPALU", 1, 0, 0, nullptr}, // #4
{"A53UnitFPMDS", 1, 0, 0, nullptr}, // #5
{"A53UnitLdSt", 1, 0, 0, nullptr}, // #6
{"A53UnitMAC", 1, 0, 0, nullptr}, // #7
};
static const llvm::MCSchedModel CortexA53Model = {
2, // IssueWidth
0, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
3, // LoadLatency
MCSchedModel::DefaultHighLatency,
9, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
2, // Processor ID
CortexA53ModelProcResources,
CortexA53ModelSchedClasses,
8,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned CortexA57ModelProcResourceSubUnits[] = {
0, // Invalid
8, 7, // A57UnitV
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc CortexA57ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"A57UnitB", 1, 0, -1, nullptr}, // #1
{"A57UnitI", 2, 0, -1, nullptr}, // #2
{"A57UnitL", 1, 0, -1, nullptr}, // #3
{"A57UnitM", 1, 0, -1, nullptr}, // #4
{"A57UnitS", 1, 0, -1, nullptr}, // #5
{"A57UnitV", 2, 0, -1, CortexA57ModelProcResourceSubUnits + 1}, // #6
{"A57UnitW", 1, 0, -1, nullptr}, // #7
{"A57UnitX", 1, 0, -1, nullptr}, // #8
};
static const llvm::MCSchedModel CortexA57Model = {
3, // IssueWidth
128, // MicroOpBufferSize
16, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
14, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
3, // Processor ID
CortexA57ModelProcResources,
CortexA57ModelSchedClasses,
9,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned ExynosM3ModelProcResourceSubUnits[] = {
0, // Invalid
4, 4, 7, 7, // M3UnitALU
10, 11, 12, // M3UnitFADD
14, 15, // M3UnitFCVT
17, 17, 18, 18, // M3UnitFDIV
20, 21, 22, // M3UnitFMAC
25, 26, // M3UnitFST
29, 30, 31, // M3UnitNALU
33, 34, // M3UnitNCRY
38, 39, 40, // M3UnitNSHF
42, 43, 44, // M3UnitNSHT
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc ExynosM3ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"M3PipeF0", 1, 0, -1, nullptr}, // #1
{"M3PipeF1", 1, 0, -1, nullptr}, // #2
{"M3PipeF2", 1, 0, -1, nullptr}, // #3
{"M3UnitA", 2, 0, -1, nullptr}, // #4
{"M3UnitALU", 4, 0, -1, ExynosM3ModelProcResourceSubUnits + 1}, // #5
{"M3UnitB", 2, 0, -1, nullptr}, // #6
{"M3UnitC", 2, 0, -1, nullptr}, // #7
{"M3UnitD", 1, 0, -1, nullptr}, // #8
{"M3UnitFADD", 3, 0, -1, ExynosM3ModelProcResourceSubUnits + 5}, // #9
{"M3UnitFADD0", 1, 1, -1, nullptr}, // #10, Super=M3PipeF0
{"M3UnitFADD1", 1, 2, -1, nullptr}, // #11, Super=M3PipeF1
{"M3UnitFADD2", 1, 3, -1, nullptr}, // #12, Super=M3PipeF2
{"M3UnitFCVT", 2, 0, -1, ExynosM3ModelProcResourceSubUnits + 8}, // #13
{"M3UnitFCVT0", 1, 1, -1, nullptr}, // #14, Super=M3PipeF0
{"M3UnitFCVT1", 1, 2, -1, nullptr}, // #15, Super=M3PipeF1
{"M3UnitFDIV", 4, 0, -1, ExynosM3ModelProcResourceSubUnits + 10}, // #16
{"M3UnitFDIV0", 2, 2, -1, nullptr}, // #17, Super=M3PipeF1
{"M3UnitFDIV1", 2, 3, -1, nullptr}, // #18, Super=M3PipeF2
{"M3UnitFMAC", 3, 0, -1, ExynosM3ModelProcResourceSubUnits + 14}, // #19
{"M3UnitFMAC0", 1, 1, -1, nullptr}, // #20, Super=M3PipeF0
{"M3UnitFMAC1", 1, 2, -1, nullptr}, // #21, Super=M3PipeF1
{"M3UnitFMAC2", 1, 3, -1, nullptr}, // #22, Super=M3PipeF2
{"M3UnitFSQR", 2, 1, -1, nullptr}, // #23, Super=M3PipeF0
{"M3UnitFST", 2, 0, -1, ExynosM3ModelProcResourceSubUnits + 17}, // #24
{"M3UnitFST0", 1, 2, -1, nullptr}, // #25, Super=M3PipeF1
{"M3UnitFST1", 1, 3, -1, nullptr}, // #26, Super=M3PipeF2
{"M3UnitL", 2, 0, -1, nullptr}, // #27
{"M3UnitNALU", 3, 0, -1, ExynosM3ModelProcResourceSubUnits + 19}, // #28
{"M3UnitNALU0", 1, 1, -1, nullptr}, // #29, Super=M3PipeF0
{"M3UnitNALU1", 1, 2, -1, nullptr}, // #30, Super=M3PipeF1
{"M3UnitNALU2", 1, 3, -1, nullptr}, // #31, Super=M3PipeF2
{"M3UnitNCRY", 2, 0, -1, ExynosM3ModelProcResourceSubUnits + 22}, // #32
{"M3UnitNCRY0", 1, 2, -1, nullptr}, // #33, Super=M3PipeF1
{"M3UnitNCRY1", 1, 3, -1, nullptr}, // #34, Super=M3PipeF2
{"M3UnitNMSC", 1, 1, -1, nullptr}, // #35, Super=M3PipeF0
{"M3UnitNMUL", 1, 2, -1, nullptr}, // #36, Super=M3PipeF1
{"M3UnitNSHF", 3, 0, -1, ExynosM3ModelProcResourceSubUnits + 24}, // #37
{"M3UnitNSHF0", 1, 1, -1, nullptr}, // #38, Super=M3PipeF0
{"M3UnitNSHF1", 1, 2, -1, nullptr}, // #39, Super=M3PipeF1
{"M3UnitNSHF2", 1, 3, -1, nullptr}, // #40, Super=M3PipeF2
{"M3UnitNSHT", 3, 0, -1, ExynosM3ModelProcResourceSubUnits + 27}, // #41
{"M3UnitNSHT0", 1, 1, -1, nullptr}, // #42, Super=M3PipeF0
{"M3UnitNSHT1", 1, 2, -1, nullptr}, // #43, Super=M3PipeF1
{"M3UnitNSHT2", 1, 3, -1, nullptr}, // #44, Super=M3PipeF2
{"M3UnitS", 1, 0, -1, nullptr}, // #45
};
static const llvm::MCSchedModel ExynosM3Model = {
6, // IssueWidth
228, // MicroOpBufferSize
40, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
16, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
4, // Processor ID
ExynosM3ModelProcResources,
ExynosM3ModelSchedClasses,
46,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned ExynosM4ModelProcResourceSubUnits[] = {
0, // Invalid
5, 5, 8, 8, // M4UnitALU
12, 13, 14, // M4UnitFADD
12, 13, // M4UnitFADDH
17, 18, // M4UnitFCVT
17, // M4UnitFCVTH
21, 21, 22, 22, // M4UnitFDIV
21, 21, // M4UnitFDIVH
25, 26, 27, // M4UnitFMAC
25, 26, // M4UnitFMACH
30, 30, 31, 31, // M4UnitFSQR
30, 30, // M4UnitFSQRH
34, 35, // M4UnitFST
37, 38, // M4UnitL
40, 41, 42, // M4UnitNALU
40, 41, // M4UnitNALUH
45, 46, // M4UnitNCRY
50, 51, // M4UnitNMUL
53, 54, // M4UnitNSHF
53, // M4UnitNSHFH
57, 58, 59, // M4UnitNSHT
61, 62, // M4UnitS
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc ExynosM4ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"M4PipeF0", 1, 0, -1, nullptr}, // #1
{"M4PipeF1", 1, 0, -1, nullptr}, // #2
{"M4PipeF2", 1, 0, -1, nullptr}, // #3
{"M4PipeLS", 1, 0, -1, nullptr}, // #4
{"M4UnitA", 2, 0, -1, nullptr}, // #5
{"M4UnitALU", 4, 0, -1, ExynosM4ModelProcResourceSubUnits + 1}, // #6
{"M4UnitB", 2, 0, -1, nullptr}, // #7
{"M4UnitC", 2, 0, -1, nullptr}, // #8
{"M4UnitD", 1, 8, 1, nullptr}, // #9, Super=M4UnitC
{"M4UnitE", 1, 8, -1, nullptr}, // #10, Super=M4UnitC
{"M4UnitFADD", 3, 0, -1, ExynosM4ModelProcResourceSubUnits + 5}, // #11
{"M4UnitFADD0", 1, 1, -1, nullptr}, // #12, Super=M4PipeF0
{"M4UnitFADD1", 1, 2, -1, nullptr}, // #13, Super=M4PipeF1
{"M4UnitFADD2", 1, 3, -1, nullptr}, // #14, Super=M4PipeF2
{"M4UnitFADDH", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 8}, // #15
{"M4UnitFCVT", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 10}, // #16
{"M4UnitFCVT0", 1, 1, -1, nullptr}, // #17, Super=M4PipeF0
{"M4UnitFCVT1", 1, 3, -1, nullptr}, // #18, Super=M4PipeF2
{"M4UnitFCVTH", 1, 0, -1, ExynosM4ModelProcResourceSubUnits + 12}, // #19
{"M4UnitFDIV", 4, 0, -1, ExynosM4ModelProcResourceSubUnits + 13}, // #20
{"M4UnitFDIV0", 2, 2, -1, nullptr}, // #21, Super=M4PipeF1
{"M4UnitFDIV1", 2, 3, -1, nullptr}, // #22, Super=M4PipeF2
{"M4UnitFDIVH", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 17}, // #23
{"M4UnitFMAC", 3, 0, -1, ExynosM4ModelProcResourceSubUnits + 19}, // #24
{"M4UnitFMAC0", 1, 1, -1, nullptr}, // #25, Super=M4PipeF0
{"M4UnitFMAC1", 1, 2, -1, nullptr}, // #26, Super=M4PipeF1
{"M4UnitFMAC2", 1, 3, -1, nullptr}, // #27, Super=M4PipeF2
{"M4UnitFMACH", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 22}, // #28
{"M4UnitFSQR", 4, 0, -1, ExynosM4ModelProcResourceSubUnits + 24}, // #29
{"M4UnitFSQR0", 2, 2, -1, nullptr}, // #30, Super=M4PipeF1
{"M4UnitFSQR1", 2, 3, -1, nullptr}, // #31, Super=M4PipeF2
{"M4UnitFSQRH", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 28}, // #32
{"M4UnitFST", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 30}, // #33
{"M4UnitFST0", 1, 2, -1, nullptr}, // #34, Super=M4PipeF1
{"M4UnitFST1", 1, 3, -1, nullptr}, // #35, Super=M4PipeF2
{"M4UnitL", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 32}, // #36
{"M4UnitL0", 1, 0, -1, nullptr}, // #37
{"M4UnitL1", 1, 4, -1, nullptr}, // #38, Super=M4PipeLS
{"M4UnitNALU", 3, 0, -1, ExynosM4ModelProcResourceSubUnits + 34}, // #39
{"M4UnitNALU0", 1, 1, -1, nullptr}, // #40, Super=M4PipeF0
{"M4UnitNALU1", 1, 2, -1, nullptr}, // #41, Super=M4PipeF1
{"M4UnitNALU2", 1, 3, -1, nullptr}, // #42, Super=M4PipeF2
{"M4UnitNALUH", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 37}, // #43
{"M4UnitNCRY", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 39}, // #44
{"M4UnitNCRY0", 1, 1, -1, nullptr}, // #45, Super=M4PipeF0
{"M4UnitNCRY1", 1, 3, -1, nullptr}, // #46, Super=M4PipeF2
{"M4UnitNHAD", 1, 1, -1, nullptr}, // #47, Super=M4PipeF0
{"M4UnitNMSC", 1, 1, -1, nullptr}, // #48, Super=M4PipeF0
{"M4UnitNMUL", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 41}, // #49
{"M4UnitNMUL0", 1, 1, -1, nullptr}, // #50, Super=M4PipeF0
{"M4UnitNMUL1", 1, 3, -1, nullptr}, // #51, Super=M4PipeF2
{"M4UnitNSHF", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 43}, // #52
{"M4UnitNSHF0", 1, 1, -1, nullptr}, // #53, Super=M4PipeF0
{"M4UnitNSHF1", 1, 2, -1, nullptr}, // #54, Super=M4PipeF1
{"M4UnitNSHFH", 1, 0, -1, ExynosM4ModelProcResourceSubUnits + 45}, // #55
{"M4UnitNSHT", 3, 0, -1, ExynosM4ModelProcResourceSubUnits + 46}, // #56
{"M4UnitNSHT0", 1, 1, -1, nullptr}, // #57, Super=M4PipeF0
{"M4UnitNSHT1", 1, 2, -1, nullptr}, // #58, Super=M4PipeF1
{"M4UnitNSHT2", 1, 3, -1, nullptr}, // #59, Super=M4PipeF2
{"M4UnitS", 2, 0, -1, ExynosM4ModelProcResourceSubUnits + 49}, // #60
{"M4UnitS0", 1, 0, -1, nullptr}, // #61
{"M4UnitS1", 1, 4, -1, nullptr}, // #62, Super=M4PipeLS
};
static const llvm::MCSchedModel ExynosM4Model = {
6, // IssueWidth
228, // MicroOpBufferSize
48, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
16, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
5, // Processor ID
ExynosM4ModelProcResources,
ExynosM4ModelSchedClasses,
63,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned ExynosM5ModelProcResourceSubUnits[] = {
0, // Invalid
5, 5, 9, 9, 11, 11, // M5UnitAW
5, 5, 9, 9, // M5UnitAX
14, 15, 16, // M5UnitFADD
18, 19, // M5UnitFCVT
21, 21, 22, 22, // M5UnitFDIV
24, 25, 26, // M5UnitFMAC
28, 28, 29, 29, // M5UnitFSQR
31, 32, // M5UnitFST
34, 35, // M5UnitL
37, 38, 39, // M5UnitNALU
41, 42, // M5UnitNCRY
44, 45, 46, // M5UnitNDOT
50, 51, // M5UnitNMUL
53, 54, // M5UnitNSHF
56, 57, 58, // M5UnitNSHT
60, 61, // M5UnitS
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc ExynosM5ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"M5PipeF0", 1, 0, -1, nullptr}, // #1
{"M5PipeF1", 1, 0, -1, nullptr}, // #2
{"M5PipeF2", 1, 0, -1, nullptr}, // #3
{"M5PipeLS", 1, 0, -1, nullptr}, // #4
{"M5UnitA", 2, 0, -1, nullptr}, // #5
{"M5UnitAW", 6, 0, -1, ExynosM5ModelProcResourceSubUnits + 1}, // #6
{"M5UnitAX", 4, 0, -1, ExynosM5ModelProcResourceSubUnits + 7}, // #7
{"M5UnitB", 1, 0, -1, nullptr}, // #8
{"M5UnitC", 2, 0, -1, nullptr}, // #9
{"M5UnitD", 1, 9, 1, nullptr}, // #10, Super=M5UnitC
{"M5UnitE", 2, 0, -1, nullptr}, // #11
{"M5UnitF", 2, 9, -1, nullptr}, // #12, Super=M5UnitC
{"M5UnitFADD", 3, 0, -1, ExynosM5ModelProcResourceSubUnits + 11}, // #13
{"M5UnitFADD0", 1, 1, -1, nullptr}, // #14, Super=M5PipeF0
{"M5UnitFADD1", 1, 2, -1, nullptr}, // #15, Super=M5PipeF1
{"M5UnitFADD2", 1, 3, -1, nullptr}, // #16, Super=M5PipeF2
{"M5UnitFCVT", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 14}, // #17
{"M5UnitFCVT0", 1, 2, -1, nullptr}, // #18, Super=M5PipeF1
{"M5UnitFCVT1", 1, 3, -1, nullptr}, // #19, Super=M5PipeF2
{"M5UnitFDIV", 4, 0, -1, ExynosM5ModelProcResourceSubUnits + 16}, // #20
{"M5UnitFDIV0", 2, 2, -1, nullptr}, // #21, Super=M5PipeF1
{"M5UnitFDIV1", 2, 3, -1, nullptr}, // #22, Super=M5PipeF2
{"M5UnitFMAC", 3, 0, -1, ExynosM5ModelProcResourceSubUnits + 20}, // #23
{"M5UnitFMAC0", 1, 1, -1, nullptr}, // #24, Super=M5PipeF0
{"M5UnitFMAC1", 1, 2, -1, nullptr}, // #25, Super=M5PipeF1
{"M5UnitFMAC2", 1, 3, -1, nullptr}, // #26, Super=M5PipeF2
{"M5UnitFSQR", 4, 0, -1, ExynosM5ModelProcResourceSubUnits + 23}, // #27
{"M5UnitFSQR0", 2, 2, -1, nullptr}, // #28, Super=M5PipeF1
{"M5UnitFSQR1", 2, 3, -1, nullptr}, // #29, Super=M5PipeF2
{"M5UnitFST", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 27}, // #30
{"M5UnitFST0", 1, 2, -1, nullptr}, // #31, Super=M5PipeF1
{"M5UnitFST1", 1, 3, -1, nullptr}, // #32, Super=M5PipeF2
{"M5UnitL", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 29}, // #33
{"M5UnitL0", 1, 0, -1, nullptr}, // #34
{"M5UnitL1", 1, 4, -1, nullptr}, // #35, Super=M5PipeLS
{"M5UnitNALU", 3, 0, -1, ExynosM5ModelProcResourceSubUnits + 31}, // #36
{"M5UnitNALU0", 1, 1, -1, nullptr}, // #37, Super=M5PipeF0
{"M5UnitNALU1", 1, 2, -1, nullptr}, // #38, Super=M5PipeF1
{"M5UnitNALU2", 1, 3, -1, nullptr}, // #39, Super=M5PipeF2
{"M5UnitNCRY", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 34}, // #40
{"M5UnitNCRY0", 1, 1, -1, nullptr}, // #41, Super=M5PipeF0
{"M5UnitNCRY1", 1, 3, -1, nullptr}, // #42, Super=M5PipeF2
{"M5UnitNDOT", 3, 0, -1, ExynosM5ModelProcResourceSubUnits + 36}, // #43
{"M5UnitNDOT0", 1, 1, -1, nullptr}, // #44, Super=M5PipeF0
{"M5UnitNDOT1", 1, 2, -1, nullptr}, // #45, Super=M5PipeF1
{"M5UnitNDOT2", 1, 3, -1, nullptr}, // #46, Super=M5PipeF2
{"M5UnitNHAD", 1, 1, -1, nullptr}, // #47, Super=M5PipeF0
{"M5UnitNMSC", 1, 1, -1, nullptr}, // #48, Super=M5PipeF0
{"M5UnitNMUL", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 39}, // #49
{"M5UnitNMUL0", 1, 1, -1, nullptr}, // #50, Super=M5PipeF0
{"M5UnitNMUL1", 1, 3, -1, nullptr}, // #51, Super=M5PipeF2
{"M5UnitNSHF", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 41}, // #52
{"M5UnitNSHF0", 1, 1, -1, nullptr}, // #53, Super=M5PipeF0
{"M5UnitNSHF1", 1, 2, -1, nullptr}, // #54, Super=M5PipeF1
{"M5UnitNSHT", 3, 0, -1, ExynosM5ModelProcResourceSubUnits + 43}, // #55
{"M5UnitNSHT0", 1, 1, -1, nullptr}, // #56, Super=M5PipeF0
{"M5UnitNSHT1", 1, 2, -1, nullptr}, // #57, Super=M5PipeF1
{"M5UnitNSHT2", 1, 3, -1, nullptr}, // #58, Super=M5PipeF2
{"M5UnitS", 2, 0, -1, ExynosM5ModelProcResourceSubUnits + 46}, // #59
{"M5UnitS0", 1, 0, -1, nullptr}, // #60
{"M5UnitS1", 1, 4, -1, nullptr}, // #61, Super=M5PipeLS
};
static const llvm::MCSchedModel ExynosM5Model = {
6, // IssueWidth
228, // MicroOpBufferSize
60, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
15, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
6, // Processor ID
ExynosM5ModelProcResources,
ExynosM5ModelSchedClasses,
62,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned FalkorModelProcResourceSubUnits[] = {
0, // Invalid
8, 10, // FalkorUnitVXVY
11, 15, // FalkorUnitXY
11, 15, 16, // FalkorUnitXYZ
11, 15, 16, 1, // FalkorUnitXYZB
16, 1, // FalkorUnitZB
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc FalkorModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"FalkorUnitB", 1, 0, -1, nullptr}, // #1
{"FalkorUnitGTOV", 1, 0, -1, nullptr}, // #2
{"FalkorUnitLD", 1, 0, -1, nullptr}, // #3
{"FalkorUnitSD", 1, 0, -1, nullptr}, // #4
{"FalkorUnitST", 1, 0, -1, nullptr}, // #5
{"FalkorUnitVSD", 1, 0, -1, nullptr}, // #6
{"FalkorUnitVTOG", 1, 0, -1, nullptr}, // #7
{"FalkorUnitVX", 1, 0, -1, nullptr}, // #8
{"FalkorUnitVXVY", 2, 0, -1, FalkorModelProcResourceSubUnits + 1}, // #9
{"FalkorUnitVY", 1, 0, -1, nullptr}, // #10
{"FalkorUnitX", 1, 0, -1, nullptr}, // #11
{"FalkorUnitXY", 2, 0, -1, FalkorModelProcResourceSubUnits + 3}, // #12
{"FalkorUnitXYZ", 3, 0, -1, FalkorModelProcResourceSubUnits + 5}, // #13
{"FalkorUnitXYZB", 4, 0, -1, FalkorModelProcResourceSubUnits + 8}, // #14
{"FalkorUnitY", 1, 0, -1, nullptr}, // #15
{"FalkorUnitZ", 1, 0, -1, nullptr}, // #16
{"FalkorUnitZB", 2, 0, -1, FalkorModelProcResourceSubUnits + 12}, // #17
};
static const llvm::MCSchedModel FalkorModel = {
8, // IssueWidth
128, // MicroOpBufferSize
16, // LoopMicroOpBufferSize
3, // LoadLatency
MCSchedModel::DefaultHighLatency,
11, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
7, // Processor ID
FalkorModelProcResources,
FalkorModelSchedClasses,
18,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned KryoModelProcResourceSubUnits[] = {
0, // Invalid
2, 3, // KryoUnitLS
5, 6, // KryoUnitX
5, 6, 9, 10, // KryoUnitXY
9, 10, // KryoUnitY
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc KryoModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"KryoUnitLS", 2, 0, -1, KryoModelProcResourceSubUnits + 1}, // #1
{"KryoUnitLSA", 1, 0, -1, nullptr}, // #2
{"KryoUnitLSB", 1, 0, -1, nullptr}, // #3
{"KryoUnitX", 2, 0, -1, KryoModelProcResourceSubUnits + 3}, // #4
{"KryoUnitXA", 1, 0, -1, nullptr}, // #5
{"KryoUnitXB", 1, 0, -1, nullptr}, // #6
{"KryoUnitXY", 4, 0, -1, KryoModelProcResourceSubUnits + 5}, // #7
{"KryoUnitY", 2, 0, -1, KryoModelProcResourceSubUnits + 9}, // #8
{"KryoUnitYA", 1, 0, -1, nullptr}, // #9
{"KryoUnitYB", 1, 0, -1, nullptr}, // #10
};
static const llvm::MCSchedModel KryoModel = {
5, // IssueWidth
128, // MicroOpBufferSize
16, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
14, // MispredictPenalty
false, // PostRAScheduler
true, // CompleteModel
8, // Processor ID
KryoModelProcResources,
KryoModelSchedClasses,
11,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned ThunderXT8XModelProcResourceSubUnits[] = {
0, // Invalid
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc ThunderXT8XModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"THXT8XUnitALU", 2, 0, 0, nullptr}, // #1
{"THXT8XUnitBr", 1, 0, 0, nullptr}, // #2
{"THXT8XUnitDiv", 1, 0, 0, nullptr}, // #3
{"THXT8XUnitFPALU", 1, 0, 0, nullptr}, // #4
{"THXT8XUnitFPMDS", 1, 0, 0, nullptr}, // #5
{"THXT8XUnitLdSt", 1, 0, 0, nullptr}, // #6
{"THXT8XUnitMAC", 1, 0, 0, nullptr}, // #7
};
static const llvm::MCSchedModel ThunderXT8XModel = {
2, // IssueWidth
0, // MicroOpBufferSize
MCSchedModel::DefaultLoopMicroOpBufferSize,
3, // LoadLatency
MCSchedModel::DefaultHighLatency,
8, // MispredictPenalty
true, // PostRAScheduler
true, // CompleteModel
9, // Processor ID
ThunderXT8XModelProcResources,
ThunderXT8XModelSchedClasses,
8,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
static const unsigned ThunderX2T99ModelProcResourceSubUnits[] = {
0, // Invalid
8, 9, 10, 11, 12, 13, // THX2T99Any
9, // THX2T99F1
8, 9, // THX2T99F01
9, // THX2T99I1
10, // THX2T99I2
8, 9, 10, // THX2T99I012
12, 13, // THX2T99LS01
11, // THX2T99SD
};
// {Name, NumUnits, SuperIdx, BufferSize, SubUnitsIdxBegin}
static const llvm::MCProcResourceDesc ThunderX2T99ModelProcResources[] = {
{"InvalidUnit", 0, 0, 0, 0},
{"THX2T99Any", 6, 0, 60, ThunderX2T99ModelProcResourceSubUnits + 1}, // #1
{"THX2T99F1", 1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 7}, // #2
{"THX2T99F01", 2, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 8}, // #3
{"THX2T99I1", 1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 10}, // #4
{"THX2T99I2", 1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 11}, // #5
{"THX2T99I012", 3, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 12}, // #6
{"THX2T99LS01", 2, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 15}, // #7
{"THX2T99P0", 1, 0, -1, nullptr}, // #8
{"THX2T99P1", 1, 0, -1, nullptr}, // #9
{"THX2T99P2", 1, 0, -1, nullptr}, // #10
{"THX2T99P3", 1, 0, -1, nullptr}, // #11
{"THX2T99P4", 1, 0, -1, nullptr}, // #12
{"THX2T99P5", 1, 0, -1, nullptr}, // #13
{"THX2T99SD", 1, 0, -1, ThunderX2T99ModelProcResourceSubUnits + 17}, // #14
};
static const llvm::MCSchedModel ThunderX2T99Model = {
4, // IssueWidth
180, // MicroOpBufferSize
128, // LoopMicroOpBufferSize
4, // LoadLatency
MCSchedModel::DefaultHighLatency,
12, // MispredictPenalty
true, // PostRAScheduler
true, // CompleteModel
10, // Processor ID
ThunderX2T99ModelProcResources,
ThunderX2T99ModelSchedClasses,
15,
1110,
nullptr, // No Itinerary
nullptr // No extra processor descriptor
};
// Sorted (by key) array of values for CPU subtype.
extern const llvm::SubtargetSubTypeKV AArch64SubTypeKV[] = {
{ "apple-a10", { { { 0x0ULL, 0x0ULL, 0x800ULL, } } }, &CycloneModel },
{ "apple-a11", { { { 0x0ULL, 0x0ULL, 0x1000ULL, } } }, &CycloneModel },
{ "apple-a12", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
{ "apple-a13", { { { 0x0ULL, 0x0ULL, 0x4000ULL, } } }, &CycloneModel },
{ "apple-a7", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
{ "apple-a8", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
{ "apple-a9", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
{ "apple-latest", { { { 0x0ULL, 0x0ULL, 0x4000ULL, } } }, &CycloneModel },
{ "apple-s4", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
{ "apple-s5", { { { 0x0ULL, 0x0ULL, 0x2000ULL, } } }, &CycloneModel },
{ "cortex-a35", { { { 0x0ULL, 0x0ULL, 0x2ULL, } } }, &CortexA53Model },
{ "cortex-a53", { { { 0x0ULL, 0x0ULL, 0x4ULL, } } }, &CortexA53Model },
{ "cortex-a55", { { { 0x0ULL, 0x0ULL, 0x8ULL, } } }, &CortexA53Model },
{ "cortex-a57", { { { 0x0ULL, 0x0ULL, 0x10ULL, } } }, &CortexA57Model },
{ "cortex-a65", { { { 0x0ULL, 0x0ULL, 0x20ULL, } } }, &CortexA53Model },
{ "cortex-a65ae", { { { 0x0ULL, 0x0ULL, 0x20ULL, } } }, &CortexA53Model },
{ "cortex-a72", { { { 0x0ULL, 0x0ULL, 0x40ULL, } } }, &CortexA57Model },
{ "cortex-a73", { { { 0x0ULL, 0x0ULL, 0x80ULL, } } }, &CortexA57Model },
{ "cortex-a75", { { { 0x0ULL, 0x0ULL, 0x100ULL, } } }, &CortexA57Model },
{ "cortex-a76", { { { 0x0ULL, 0x0ULL, 0x200ULL, } } }, &CortexA57Model },
{ "cortex-a76ae", { { { 0x0ULL, 0x0ULL, 0x200ULL, } } }, &CortexA57Model },
{ "cyclone", { { { 0x0ULL, 0x0ULL, 0x400ULL, } } }, &CycloneModel },
{ "exynos-m3", { { { 0x0ULL, 0x0ULL, 0x8000ULL, } } }, &ExynosM3Model },
{ "exynos-m4", { { { 0x0ULL, 0x0ULL, 0x10000ULL, } } }, &ExynosM4Model },
{ "exynos-m5", { { { 0x0ULL, 0x0ULL, 0x10000ULL, } } }, &ExynosM5Model },
{ "falkor", { { { 0x0ULL, 0x0ULL, 0x20000ULL, } } }, &FalkorModel },
{ "generic", { { { 0x181001110000000ULL, 0x0ULL, 0x0ULL, } } }, &NoSchedModel },
{ "kryo", { { { 0x0ULL, 0x0ULL, 0x40000ULL, } } }, &KryoModel },
{ "neoverse-e1", { { { 0x0ULL, 0x0ULL, 0x80000ULL, } } }, &CortexA53Model },
{ "neoverse-n1", { { { 0x0ULL, 0x0ULL, 0x100000ULL, } } }, &CortexA57Model },
{ "saphira", { { { 0x0ULL, 0x0ULL, 0x200000ULL, } } }, &FalkorModel },
{ "thunderx", { { { 0x0ULL, 0x0ULL, 0x800000ULL, } } }, &ThunderXT8XModel },
{ "thunderx2t99", { { { 0x0ULL, 0x0ULL, 0x1000000ULL, } } }, &ThunderX2T99Model },
{ "thunderxt81", { { { 0x0ULL, 0x0ULL, 0x2000000ULL, } } }, &ThunderXT8XModel },
{ "thunderxt83", { { { 0x0ULL, 0x0ULL, 0x4000000ULL, } } }, &ThunderXT8XModel },
{ "thunderxt88", { { { 0x0ULL, 0x0ULL, 0x8000000ULL, } } }, &ThunderXT8XModel },
{ "tsv110", { { { 0x0ULL, 0x0ULL, 0x400000ULL, } } }, &CortexA57Model },
};
namespace AArch64_MC {
unsigned resolveVariantSchedClassImpl(unsigned SchedClass,
const MCInst *MI, unsigned CPUID) {
switch (SchedClass) {
case 4: // WriteISReg_ReadI_ReadISReg
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 5: // WriteIEReg_ReadI_ReadIEReg
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 14: // WriteExtr_ReadExtrHi
if (CPUID == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (CPUID == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (CPUID == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 28: // WriteLDIdx_ReadAdrBase
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 41: // WriteSTIdx_ReadAdrBase
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
break;
case 43: // COPY
if (CPUID == 1) { // CycloneModel
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1045; // M3WriteNALU1
return 1046; // M3WriteZ0
}
if (CPUID == 5) { // ExynosM4Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1047; // M4WriteNALU1
return 1048; // M4WriteZ0
}
if (CPUID == 6) { // ExynosM5Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1049; // M5WriteNALU2
return 1050; // M5WriteZ0
}
break;
case 118: // BLR
if (CPUID == 4) { // ExynosM3Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1051; // M3WriteAC
return 1052; // M3WriteAB
}
if (CPUID == 5) { // ExynosM4Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1053; // M4WriteAC
return 1054; // M4WriteAB
}
if (CPUID == 6) { // ExynosM5Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1055; // M5WriteAC
return 1056; // M5WriteAB
}
break;
case 119: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 121: // EXTRWrri
if (CPUID == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (CPUID == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (CPUID == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1066; // M5WriteA1W
return 1067; // M5WriteAFW
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 122: // EXTRXrri
if (CPUID == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (CPUID == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (CPUID == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1064; // M5WriteA1X
return 1068; // M5WriteAFX
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 133: // CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 256: // FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v
if (CPUID == 5) { // ExynosM4Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1069; // M4WriteNEONO
return 1070; // M4WriteNEONN
}
if (CPUID == 6) { // ExynosM5Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1071; // M5WriteNEONO
return 1072; // M5WriteNEONN
}
break;
case 318: // LDRBroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 319: // LDRBroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 324: // LDRDroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 325: // LDRDroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 327: // LDRHHroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 328: // LDRHHroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 331: // LDRHroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 332: // LDRHroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 337: // LDRQroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1082; // M3WriteL5_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 338: // LDRQroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1082; // M3WriteL5_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1084; // M5WriteL6_ReadDefault
return 1084; // M5WriteL6_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 340: // LDRSHWroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 341: // LDRSHWroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 342: // LDRSHXroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 343: // LDRSHXroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 347: // LDRSroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 348: // LDRSroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 359: // STPDpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 360: // STPDpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 362: // STPQpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 363: // STPQpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 364: // STPSpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 365: // STPSpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 366: // STPWpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 367: // STPWpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 369: // STPXpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 370: // STPXpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 371: // STRBBpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 372: // STRBBpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 373: // STRBpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 374: // STRBpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 375: // STRBroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 376: // STRBroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 377: // STRDpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 378: // STRDpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 379: // STRHHpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 380: // STRHHpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 381: // STRHHroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 382: // STRHHroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 383: // STRHpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 384: // STRHpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 385: // STRHroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 386: // STRHroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 387: // STRQpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 388: // STRQpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 389: // STRQroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1099; // M5WriteVSTK_ReadDefault
return 1099; // M5WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 390: // STRQroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1099; // M5WriteVSTK_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 392: // STRSpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 393: // STRSpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 394: // STRWpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 395: // STRWpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 396: // STRXpost
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 397: // STRXpre
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 399: // MOVZWi_MOVZXi
if (CPUID == 1) { // CycloneModel
return 1100; // WriteImm
}
if (CPUID == 7) { // FalkorModel
return 1102; // FalkorWr_1XYZB_0cyc
}
break;
case 400: // ANDWri_ANDXri
if (CPUID == 1) { // CycloneModel
return 1100; // WriteImm
}
break;
case 401: // ORRXrr_ADDXrr
if (CPUID == 1) { // CycloneModel
return 1044; // WriteI
}
break;
case 403: // ORRv16i8
if (CPUID == 1) { // CycloneModel
return 1103; // WriteV
}
break;
case 472: // FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v
if (CPUID == 5) { // ExynosM4Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1069; // M4WriteNEONO
return 1070; // M4WriteNEONN
}
if (CPUID == 6) { // ExynosM5Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1071; // M5WriteNEONO
return 1072; // M5WriteNEONN
}
break;
case 561: // ADDXrr
if (CPUID == 1) { // CycloneModel
return 1044; // WriteI
}
break;
case 565: // ANDSWrs_ANDSXrs_ANDWrs_ANDXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 567: // BICSWrs_BICSXrs_BICWrs_BICXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 569: // EONWrs_EONXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 572: // EORWrs_EORXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 574: // ORNWrs_ORNXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 575: // ORRWri_ORRXri
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isZeroIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1058; // FalkorWr_1XYZ_1cyc
}
break;
case 577: // ORRWrs_ORRXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 581: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 582: // ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 583: // SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 591: // MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 601: // MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 614: // STRDroW_STRDroX_STRSroW_STRSroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 635: // FMOVWSr_FMOVXDHighr_FMOVXDr
if (CPUID == 7) { // FalkorModel
return 1106; // FalkorWr_1GTOV_1cyc
}
break;
case 649: // LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 653: // PRFMroW_PRFMroX
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1108; // FalkorWr_1XYZ_1ST_4cyc
}
break;
case 656: // LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 694: // STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 713: // ANDSWrs_ANDWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 714: // ANDWri
if (CPUID == 1) { // CycloneModel
return 1100; // WriteImm
}
break;
case 716: // BICSWrs_BICWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 718: // EONWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 721: // EORWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 723: // ORNWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 724: // ORRWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 725: // ORRWri
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isZeroIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1058; // FalkorWr_1XYZ_1cyc
}
break;
case 744: // FMOVXDHighr
if (CPUID == 7) { // FalkorModel
return 1106; // FalkorWr_1GTOV_1cyc
}
break;
case 763: // ADDWrs_ADDXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 764: // ANDWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 765: // ANDXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 766: // BICWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 767: // BICXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 768: // SUBWrs_SUBXrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 769: // ADDWri_ADDXri
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
break;
case 770: // LDRBBroW_LDRWroW_LDRXroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 771: // LDRSBWroW_LDRSBXroW_LDRSWroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 772: // PRFMroW
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1108; // FalkorWr_1XYZ_1ST_4cyc
}
break;
case 773: // STRBBroW_STRWroW_STRXroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 782: // STRDroW_STRSroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 783: // MVNIv2i32_MVNIv2s_msl_MVNIv4i16
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 784: // MVNIv4i32_MVNIv4s_msl_MVNIv8i16
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 853: // ADDWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 854: // SUBWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 855: // ADDSWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 856: // SUBSWrs
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 3) { // CortexA57Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 857: // ADDSWrx_ADDWrx
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 858: // SUBSWrx_SUBWrx
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64_MC::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (CPUID == 7) { // FalkorModel
return 1059; // FalkorWr_2XYZ_2cyc
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 859: // ADDWri
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64_MC::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64_MC::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
break;
case 891: // CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr
if (CPUID == 2) { // CortexA53Model
if (AArch64_MC::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (CPUID == 9) { // ThunderXT8XModel
if (AArch64_MC::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 920: // LDRWroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 921: // LDRXroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 922: // LDRWroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 923: // LDRXroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 946: // STRBBroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 947: // STRBBroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 948: // STRDroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 949: // STRDroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 950: // STRWroW
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 951: // STRWroX
if (CPUID == 1) { // CycloneModel
if (AArch64_MC::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (CPUID == 5) { // ExynosM4Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (CPUID == 6) { // ExynosM5Model
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64_MC::isExynosScaledAddr(*MI)
&& (
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64_MC::isScaledAddr(*MI)
|| AArch64_MC::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (CPUID == 7) { // FalkorModel
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (CPUID == 10) { // ThunderX2T99Model
if (AArch64_MC::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64_MC::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 962: // MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns
if (CPUID == 4) { // ExynosM3Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (CPUID == 5) { // ExynosM4Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (CPUID == 6) { // ExynosM5Model
if (AArch64_MC::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
};
// Don't know how to resolve this scheduling class.
return 0;
}
} // end namespace AArch64_MC
struct AArch64GenMCSubtargetInfo : public MCSubtargetInfo {
AArch64GenMCSubtargetInfo(const Triple &TT,
StringRef CPU, StringRef FS, ArrayRef<SubtargetFeatureKV> PF,
ArrayRef<SubtargetSubTypeKV> PD,
const MCWriteProcResEntry *WPR,
const MCWriteLatencyEntry *WL,
const MCReadAdvanceEntry *RA, const InstrStage *IS,
const unsigned *OC, const unsigned *FP) :
MCSubtargetInfo(TT, CPU, FS, PF, PD,
WPR, WL, RA, IS, OC, FP) { }
unsigned resolveVariantSchedClass(unsigned SchedClass,
const MCInst *MI, unsigned CPUID) const override {
return AArch64_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
}
};
static inline MCSubtargetInfo *createAArch64MCSubtargetInfoImpl(const Triple &TT, StringRef CPU, StringRef FS) {
return new AArch64GenMCSubtargetInfo(TT, CPU, FS, AArch64FeatureKV, AArch64SubTypeKV,
AArch64WriteProcResTable, AArch64WriteLatencyTable, AArch64ReadAdvanceTable,
nullptr, nullptr, nullptr);
}
} // end namespace llvm
#endif // GET_SUBTARGETINFO_MC_DESC
#ifdef GET_SUBTARGETINFO_TARGET_DESC
#undef GET_SUBTARGETINFO_TARGET_DESC
#include "llvm/Support/Debug.h"
#include "llvm/Support/raw_ostream.h"
// ParseSubtargetFeatures - Parses features string setting specified
// subtarget options.
void llvm::AArch64Subtarget::ParseSubtargetFeatures(StringRef CPU, StringRef FS) {
LLVM_DEBUG(dbgs() << "\nFeatures:" << FS);
LLVM_DEBUG(dbgs() << "\nCPU:" << CPU << "\n\n");
InitMCProcessorInfo(CPU, FS);
const FeatureBitset& Bits = getFeatureBits();
if (Bits[AArch64::FeatureAES]) HasAES = true;
if (Bits[AArch64::FeatureAM]) HasAM = true;
if (Bits[AArch64::FeatureAggressiveFMA]) HasAggressiveFMA = true;
if (Bits[AArch64::FeatureAltFPCmp]) HasAlternativeNZCV = true;
if (Bits[AArch64::FeatureAlternateSExtLoadCVTF32Pattern]) UseAlternateSExtLoadCVTF32Pattern = true;
if (Bits[AArch64::FeatureArithmeticBccFusion]) HasArithmeticBccFusion = true;
if (Bits[AArch64::FeatureArithmeticCbzFusion]) HasArithmeticCbzFusion = true;
if (Bits[AArch64::FeatureBalanceFPOps]) BalanceFPOps = true;
if (Bits[AArch64::FeatureBranchTargetId]) HasBTI = true;
if (Bits[AArch64::FeatureCCIDX]) HasCCIDX = true;
if (Bits[AArch64::FeatureCCPP]) HasCCPP = true;
if (Bits[AArch64::FeatureCRC]) HasCRC = true;
if (Bits[AArch64::FeatureCacheDeepPersist]) HasCCDP = true;
if (Bits[AArch64::FeatureCallSavedX8]) CustomCallSavedXRegs[8] = true;
if (Bits[AArch64::FeatureCallSavedX9]) CustomCallSavedXRegs[9] = true;
if (Bits[AArch64::FeatureCallSavedX10]) CustomCallSavedXRegs[10] = true;
if (Bits[AArch64::FeatureCallSavedX11]) CustomCallSavedXRegs[11] = true;
if (Bits[AArch64::FeatureCallSavedX12]) CustomCallSavedXRegs[12] = true;
if (Bits[AArch64::FeatureCallSavedX13]) CustomCallSavedXRegs[13] = true;
if (Bits[AArch64::FeatureCallSavedX14]) CustomCallSavedXRegs[14] = true;
if (Bits[AArch64::FeatureCallSavedX15]) CustomCallSavedXRegs[15] = true;
if (Bits[AArch64::FeatureCallSavedX18]) CustomCallSavedXRegs[18] = true;
if (Bits[AArch64::FeatureComplxNum]) HasComplxNum = true;
if (Bits[AArch64::FeatureCrypto]) HasCrypto = true;
if (Bits[AArch64::FeatureCustomCheapAsMoveHandling]) CustomAsCheapAsMove = true;
if (Bits[AArch64::FeatureDIT]) HasDIT = true;
if (Bits[AArch64::FeatureDisableLatencySchedHeuristic]) DisableLatencySchedHeuristic = true;
if (Bits[AArch64::FeatureDotProd]) HasDotProd = true;
if (Bits[AArch64::FeatureETE]) HasETE = true;
if (Bits[AArch64::FeatureExynosCheapAsMoveHandling]) ExynosAsCheapAsMove = true;
if (Bits[AArch64::FeatureFMI]) HasFMI = true;
if (Bits[AArch64::FeatureFP16FML]) HasFP16FML = true;
if (Bits[AArch64::FeatureFPARMv8]) HasFPARMv8 = true;
if (Bits[AArch64::FeatureFRInt3264]) HasFRInt3264 = true;
if (Bits[AArch64::FeatureForce32BitJumpTables]) Force32BitJumpTables = true;
if (Bits[AArch64::FeatureFullFP16]) HasFullFP16 = true;
if (Bits[AArch64::FeatureFuseAES]) HasFuseAES = true;
if (Bits[AArch64::FeatureFuseAddress]) HasFuseAddress = true;
if (Bits[AArch64::FeatureFuseArithmeticLogic]) HasFuseArithmeticLogic = true;
if (Bits[AArch64::FeatureFuseCCSelect]) HasFuseCCSelect = true;
if (Bits[AArch64::FeatureFuseCryptoEOR]) HasFuseCryptoEOR = true;
if (Bits[AArch64::FeatureFuseLiterals]) HasFuseLiterals = true;
if (Bits[AArch64::FeatureJS]) HasJS = true;
if (Bits[AArch64::FeatureLOR]) HasLOR = true;
if (Bits[AArch64::FeatureLSE]) HasLSE = true;
if (Bits[AArch64::FeatureLSLFast]) HasLSLFast = true;
if (Bits[AArch64::FeatureMPAM]) HasMPAM = true;
if (Bits[AArch64::FeatureMTE]) HasMTE = true;
if (Bits[AArch64::FeatureNEON]) HasNEON = true;
if (Bits[AArch64::FeatureNV]) HasNV = true;
if (Bits[AArch64::FeatureNoNegativeImmediates]) NegativeImmediates = false;
if (Bits[AArch64::FeaturePA]) HasPA = true;
if (Bits[AArch64::FeaturePAN]) HasPAN = true;
if (Bits[AArch64::FeaturePAN_RWV]) HasPAN_RWV = true;
if (Bits[AArch64::FeaturePMU]) HasPMU = true;
if (Bits[AArch64::FeaturePerfMon]) HasPerfMon = true;
if (Bits[AArch64::FeaturePostRAScheduler]) UsePostRAScheduler = true;
if (Bits[AArch64::FeaturePredRes]) HasPredRes = true;
if (Bits[AArch64::FeaturePredictableSelectIsExpensive]) PredictableSelectIsExpensive = true;
if (Bits[AArch64::FeaturePsUAO]) HasPsUAO = true;
if (Bits[AArch64::FeatureRAS]) HasRAS = true;
if (Bits[AArch64::FeatureRASv8_4]) HasRASv8_4 = true;
if (Bits[AArch64::FeatureRCPC]) HasRCPC = true;
if (Bits[AArch64::FeatureRCPC_IMMO]) HasRCPC_IMMO = true;
if (Bits[AArch64::FeatureRDM]) HasRDM = true;
if (Bits[AArch64::FeatureRandGen]) HasRandGen = true;
if (Bits[AArch64::FeatureReserveX1]) ReserveXRegister[1] = true;
if (Bits[AArch64::FeatureReserveX2]) ReserveXRegister[2] = true;
if (Bits[AArch64::FeatureReserveX3]) ReserveXRegister[3] = true;
if (Bits[AArch64::FeatureReserveX4]) ReserveXRegister[4] = true;
if (Bits[AArch64::FeatureReserveX5]) ReserveXRegister[5] = true;
if (Bits[AArch64::FeatureReserveX6]) ReserveXRegister[6] = true;
if (Bits[AArch64::FeatureReserveX7]) ReserveXRegister[7] = true;
if (Bits[AArch64::FeatureReserveX9]) ReserveXRegister[9] = true;
if (Bits[AArch64::FeatureReserveX10]) ReserveXRegister[10] = true;
if (Bits[AArch64::FeatureReserveX11]) ReserveXRegister[11] = true;
if (Bits[AArch64::FeatureReserveX12]) ReserveXRegister[12] = true;
if (Bits[AArch64::FeatureReserveX13]) ReserveXRegister[13] = true;
if (Bits[AArch64::FeatureReserveX14]) ReserveXRegister[14] = true;
if (Bits[AArch64::FeatureReserveX15]) ReserveXRegister[15] = true;
if (Bits[AArch64::FeatureReserveX18]) ReserveXRegister[18] = true;
if (Bits[AArch64::FeatureReserveX20]) ReserveXRegister[20] = true;
if (Bits[AArch64::FeatureReserveX21]) ReserveXRegister[21] = true;
if (Bits[AArch64::FeatureReserveX22]) ReserveXRegister[22] = true;
if (Bits[AArch64::FeatureReserveX23]) ReserveXRegister[23] = true;
if (Bits[AArch64::FeatureReserveX24]) ReserveXRegister[24] = true;
if (Bits[AArch64::FeatureReserveX25]) ReserveXRegister[25] = true;
if (Bits[AArch64::FeatureReserveX26]) ReserveXRegister[26] = true;
if (Bits[AArch64::FeatureReserveX27]) ReserveXRegister[27] = true;
if (Bits[AArch64::FeatureReserveX28]) ReserveXRegister[28] = true;
if (Bits[AArch64::FeatureSB]) HasSB = true;
if (Bits[AArch64::FeatureSEL2]) HasSEL2 = true;
if (Bits[AArch64::FeatureSHA2]) HasSHA2 = true;
if (Bits[AArch64::FeatureSHA3]) HasSHA3 = true;
if (Bits[AArch64::FeatureSM4]) HasSM4 = true;
if (Bits[AArch64::FeatureSPE]) HasSPE = true;
if (Bits[AArch64::FeatureSSBS]) HasSSBS = true;
if (Bits[AArch64::FeatureSVE]) HasSVE = true;
if (Bits[AArch64::FeatureSVE2]) HasSVE2 = true;
if (Bits[AArch64::FeatureSVE2AES]) HasSVE2AES = true;
if (Bits[AArch64::FeatureSVE2BitPerm]) HasSVE2BitPerm = true;
if (Bits[AArch64::FeatureSVE2SHA3]) HasSVE2SHA3 = true;
if (Bits[AArch64::FeatureSVE2SM4]) HasSVE2SM4 = true;
if (Bits[AArch64::FeatureSlowMisaligned128Store]) Misaligned128StoreIsSlow = true;
if (Bits[AArch64::FeatureSlowPaired128]) Paired128IsSlow = true;
if (Bits[AArch64::FeatureSlowSTRQro]) STRQroIsSlow = true;
if (Bits[AArch64::FeatureSpecRestrict]) HasSpecRestrict = true;
if (Bits[AArch64::FeatureStrictAlign]) StrictAlign = true;
if (Bits[AArch64::FeatureTLB_RMI]) HasTLB_RMI = true;
if (Bits[AArch64::FeatureTME]) HasTME = true;
if (Bits[AArch64::FeatureTRACEV8_4]) HasTRACEV8_4 = true;
if (Bits[AArch64::FeatureTRBE]) HasTRBE = true;
if (Bits[AArch64::FeatureTaggedGlobals]) AllowTaggedGlobals = true;
if (Bits[AArch64::FeatureUseAA]) UseAA = true;
if (Bits[AArch64::FeatureUseEL1ForTP]) UseEL1ForTP = true;
if (Bits[AArch64::FeatureUseEL2ForTP]) UseEL2ForTP = true;
if (Bits[AArch64::FeatureUseEL3ForTP]) UseEL3ForTP = true;
if (Bits[AArch64::FeatureUseRSqrt]) UseRSqrt = true;
if (Bits[AArch64::FeatureVH]) HasVH = true;
if (Bits[AArch64::FeatureZCRegMove]) HasZeroCycleRegMove = true;
if (Bits[AArch64::FeatureZCZeroing]) HasZeroCycleZeroing = true;
if (Bits[AArch64::FeatureZCZeroingFP]) HasZeroCycleZeroingFP = true;
if (Bits[AArch64::FeatureZCZeroingFPWorkaround]) HasZeroCycleZeroingFPWorkaround = true;
if (Bits[AArch64::FeatureZCZeroingGP]) HasZeroCycleZeroingGP = true;
if (Bits[AArch64::HasV8_1aOps]) HasV8_1aOps = true;
if (Bits[AArch64::HasV8_2aOps]) HasV8_2aOps = true;
if (Bits[AArch64::HasV8_3aOps]) HasV8_3aOps = true;
if (Bits[AArch64::HasV8_4aOps]) HasV8_4aOps = true;
if (Bits[AArch64::HasV8_5aOps]) HasV8_5aOps = true;
if (Bits[AArch64::ProcA35] && ARMProcFamily < CortexA35) ARMProcFamily = CortexA35;
if (Bits[AArch64::ProcA53] && ARMProcFamily < CortexA53) ARMProcFamily = CortexA53;
if (Bits[AArch64::ProcA55] && ARMProcFamily < CortexA55) ARMProcFamily = CortexA55;
if (Bits[AArch64::ProcA57] && ARMProcFamily < CortexA57) ARMProcFamily = CortexA57;
if (Bits[AArch64::ProcA65] && ARMProcFamily < CortexA65) ARMProcFamily = CortexA65;
if (Bits[AArch64::ProcA72] && ARMProcFamily < CortexA72) ARMProcFamily = CortexA72;
if (Bits[AArch64::ProcA73] && ARMProcFamily < CortexA73) ARMProcFamily = CortexA73;
if (Bits[AArch64::ProcA75] && ARMProcFamily < CortexA75) ARMProcFamily = CortexA75;
if (Bits[AArch64::ProcA76] && ARMProcFamily < CortexA76) ARMProcFamily = CortexA76;
if (Bits[AArch64::ProcAppleA7] && ARMProcFamily < AppleA7) ARMProcFamily = AppleA7;
if (Bits[AArch64::ProcAppleA10] && ARMProcFamily < AppleA10) ARMProcFamily = AppleA10;
if (Bits[AArch64::ProcAppleA11] && ARMProcFamily < AppleA11) ARMProcFamily = AppleA11;
if (Bits[AArch64::ProcAppleA12] && ARMProcFamily < AppleA12) ARMProcFamily = AppleA12;
if (Bits[AArch64::ProcAppleA13] && ARMProcFamily < AppleA13) ARMProcFamily = AppleA13;
if (Bits[AArch64::ProcExynosM3] && ARMProcFamily < ExynosM3) ARMProcFamily = ExynosM3;
if (Bits[AArch64::ProcExynosM4] && ARMProcFamily < ExynosM3) ARMProcFamily = ExynosM3;
if (Bits[AArch64::ProcFalkor] && ARMProcFamily < Falkor) ARMProcFamily = Falkor;
if (Bits[AArch64::ProcKryo] && ARMProcFamily < Kryo) ARMProcFamily = Kryo;
if (Bits[AArch64::ProcNeoverseE1] && ARMProcFamily < NeoverseE1) ARMProcFamily = NeoverseE1;
if (Bits[AArch64::ProcNeoverseN1] && ARMProcFamily < NeoverseN1) ARMProcFamily = NeoverseN1;
if (Bits[AArch64::ProcSaphira] && ARMProcFamily < Saphira) ARMProcFamily = Saphira;
if (Bits[AArch64::ProcTSV110] && ARMProcFamily < TSV110) ARMProcFamily = TSV110;
if (Bits[AArch64::ProcThunderX] && ARMProcFamily < ThunderX) ARMProcFamily = ThunderX;
if (Bits[AArch64::ProcThunderX2T99] && ARMProcFamily < ThunderX2T99) ARMProcFamily = ThunderX2T99;
if (Bits[AArch64::ProcThunderXT81] && ARMProcFamily < ThunderXT81) ARMProcFamily = ThunderXT81;
if (Bits[AArch64::ProcThunderXT83] && ARMProcFamily < ThunderXT83) ARMProcFamily = ThunderXT83;
if (Bits[AArch64::ProcThunderXT88] && ARMProcFamily < ThunderXT88) ARMProcFamily = ThunderXT88;
}
#endif // GET_SUBTARGETINFO_TARGET_DESC
#ifdef GET_SUBTARGETINFO_HEADER
#undef GET_SUBTARGETINFO_HEADER
namespace llvm {
class DFAPacketizer;
namespace AArch64_MC {
unsigned resolveVariantSchedClassImpl(unsigned SchedClass, const MCInst *MI, unsigned CPUID);
} // end namespace AArch64_MC
struct AArch64GenSubtargetInfo : public TargetSubtargetInfo {
explicit AArch64GenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS);
public:
unsigned resolveSchedClass(unsigned SchedClass, const MachineInstr *DefMI, const TargetSchedModel *SchedModel) const override;
unsigned resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const override;
DFAPacketizer *createDFAPacketizer(const InstrItineraryData *IID) const;
};
} // end namespace llvm
#endif // GET_SUBTARGETINFO_HEADER
#ifdef GET_SUBTARGETINFO_CTOR
#undef GET_SUBTARGETINFO_CTOR
#include "llvm/CodeGen/TargetSchedule.h"
namespace llvm {
extern const llvm::SubtargetFeatureKV AArch64FeatureKV[];
extern const llvm::SubtargetSubTypeKV AArch64SubTypeKV[];
extern const llvm::MCWriteProcResEntry AArch64WriteProcResTable[];
extern const llvm::MCWriteLatencyEntry AArch64WriteLatencyTable[];
extern const llvm::MCReadAdvanceEntry AArch64ReadAdvanceTable[];
AArch64GenSubtargetInfo::AArch64GenSubtargetInfo(const Triple &TT, StringRef CPU, StringRef FS)
: TargetSubtargetInfo(TT, CPU, FS, makeArrayRef(AArch64FeatureKV, 156), makeArrayRef(AArch64SubTypeKV, 37),
AArch64WriteProcResTable, AArch64WriteLatencyTable, AArch64ReadAdvanceTable,
nullptr, nullptr, nullptr) {}
unsigned AArch64GenSubtargetInfo
::resolveSchedClass(unsigned SchedClass, const MachineInstr *MI, const TargetSchedModel *SchedModel) const {
const AArch64InstrInfo *TII =
static_cast<const AArch64InstrInfo*>(SchedModel->getInstrInfo());
(void)TII;
switch (SchedClass) {
case 4: // WriteISReg_ReadI_ReadISReg
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 5: // WriteIEReg_ReadI_ReadIEReg
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 14: // WriteExtr_ReadExtrHi
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 28: // WriteLDIdx_ReadAdrBase
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 41: // WriteSTIdx_ReadAdrBase
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
break;
case 43: // COPY
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRCopy(*MI))
return 1043; // WriteX
if (TII->isFPRCopy(*MI))
return 1043; // WriteX
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1045; // M3WriteNALU1
return 1046; // M3WriteZ0
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1047; // M4WriteNALU1
return 1048; // M4WriteZ0
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
(
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::H0
|| MI->getOperand(0).getReg() == AArch64::H1
|| MI->getOperand(0).getReg() == AArch64::H2
|| MI->getOperand(0).getReg() == AArch64::H3
|| MI->getOperand(0).getReg() == AArch64::H4
|| MI->getOperand(0).getReg() == AArch64::H5
|| MI->getOperand(0).getReg() == AArch64::H6
|| MI->getOperand(0).getReg() == AArch64::H7
|| MI->getOperand(0).getReg() == AArch64::H8
|| MI->getOperand(0).getReg() == AArch64::H9
|| MI->getOperand(0).getReg() == AArch64::H10
|| MI->getOperand(0).getReg() == AArch64::H11
|| MI->getOperand(0).getReg() == AArch64::H12
|| MI->getOperand(0).getReg() == AArch64::H13
|| MI->getOperand(0).getReg() == AArch64::H14
|| MI->getOperand(0).getReg() == AArch64::H15
|| MI->getOperand(0).getReg() == AArch64::H16
|| MI->getOperand(0).getReg() == AArch64::H17
|| MI->getOperand(0).getReg() == AArch64::H18
|| MI->getOperand(0).getReg() == AArch64::H19
|| MI->getOperand(0).getReg() == AArch64::H20
|| MI->getOperand(0).getReg() == AArch64::H21
|| MI->getOperand(0).getReg() == AArch64::H22
|| MI->getOperand(0).getReg() == AArch64::H23
|| MI->getOperand(0).getReg() == AArch64::H24
|| MI->getOperand(0).getReg() == AArch64::H25
|| MI->getOperand(0).getReg() == AArch64::H26
|| MI->getOperand(0).getReg() == AArch64::H27
|| MI->getOperand(0).getReg() == AArch64::H28
|| MI->getOperand(0).getReg() == AArch64::H29
|| MI->getOperand(0).getReg() == AArch64::H30
|| MI->getOperand(0).getReg() == AArch64::H31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::S0
|| MI->getOperand(0).getReg() == AArch64::S1
|| MI->getOperand(0).getReg() == AArch64::S2
|| MI->getOperand(0).getReg() == AArch64::S3
|| MI->getOperand(0).getReg() == AArch64::S4
|| MI->getOperand(0).getReg() == AArch64::S5
|| MI->getOperand(0).getReg() == AArch64::S6
|| MI->getOperand(0).getReg() == AArch64::S7
|| MI->getOperand(0).getReg() == AArch64::S8
|| MI->getOperand(0).getReg() == AArch64::S9
|| MI->getOperand(0).getReg() == AArch64::S10
|| MI->getOperand(0).getReg() == AArch64::S11
|| MI->getOperand(0).getReg() == AArch64::S12
|| MI->getOperand(0).getReg() == AArch64::S13
|| MI->getOperand(0).getReg() == AArch64::S14
|| MI->getOperand(0).getReg() == AArch64::S15
|| MI->getOperand(0).getReg() == AArch64::S16
|| MI->getOperand(0).getReg() == AArch64::S17
|| MI->getOperand(0).getReg() == AArch64::S18
|| MI->getOperand(0).getReg() == AArch64::S19
|| MI->getOperand(0).getReg() == AArch64::S20
|| MI->getOperand(0).getReg() == AArch64::S21
|| MI->getOperand(0).getReg() == AArch64::S22
|| MI->getOperand(0).getReg() == AArch64::S23
|| MI->getOperand(0).getReg() == AArch64::S24
|| MI->getOperand(0).getReg() == AArch64::S25
|| MI->getOperand(0).getReg() == AArch64::S26
|| MI->getOperand(0).getReg() == AArch64::S27
|| MI->getOperand(0).getReg() == AArch64::S28
|| MI->getOperand(0).getReg() == AArch64::S29
|| MI->getOperand(0).getReg() == AArch64::S30
|| MI->getOperand(0).getReg() == AArch64::S31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::D0
|| MI->getOperand(0).getReg() == AArch64::D1
|| MI->getOperand(0).getReg() == AArch64::D2
|| MI->getOperand(0).getReg() == AArch64::D3
|| MI->getOperand(0).getReg() == AArch64::D4
|| MI->getOperand(0).getReg() == AArch64::D5
|| MI->getOperand(0).getReg() == AArch64::D6
|| MI->getOperand(0).getReg() == AArch64::D7
|| MI->getOperand(0).getReg() == AArch64::D8
|| MI->getOperand(0).getReg() == AArch64::D9
|| MI->getOperand(0).getReg() == AArch64::D10
|| MI->getOperand(0).getReg() == AArch64::D11
|| MI->getOperand(0).getReg() == AArch64::D12
|| MI->getOperand(0).getReg() == AArch64::D13
|| MI->getOperand(0).getReg() == AArch64::D14
|| MI->getOperand(0).getReg() == AArch64::D15
|| MI->getOperand(0).getReg() == AArch64::D16
|| MI->getOperand(0).getReg() == AArch64::D17
|| MI->getOperand(0).getReg() == AArch64::D18
|| MI->getOperand(0).getReg() == AArch64::D19
|| MI->getOperand(0).getReg() == AArch64::D20
|| MI->getOperand(0).getReg() == AArch64::D21
|| MI->getOperand(0).getReg() == AArch64::D22
|| MI->getOperand(0).getReg() == AArch64::D23
|| MI->getOperand(0).getReg() == AArch64::D24
|| MI->getOperand(0).getReg() == AArch64::D25
|| MI->getOperand(0).getReg() == AArch64::D26
|| MI->getOperand(0).getReg() == AArch64::D27
|| MI->getOperand(0).getReg() == AArch64::D28
|| MI->getOperand(0).getReg() == AArch64::D29
|| MI->getOperand(0).getReg() == AArch64::D30
|| MI->getOperand(0).getReg() == AArch64::D31
)
)
|| (
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
)
))
return 1049; // M5WriteNALU2
return 1050; // M5WriteZ0
}
break;
case 118: // BLR
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1051; // M3WriteAC
return 1052; // M3WriteAB
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1053; // M4WriteAC
return 1054; // M4WriteAB
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
( MI->getOpcode() == AArch64::BLR )
&& MI->getOperand(0).getReg() == AArch64::LR
))
return 1055; // M5WriteAC
return 1056; // M5WriteAB
}
break;
case 119: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs_ANDSWrs_ANDSXrs_ANDWrs_ANDXrs_BICSWrs_BICSXrs_BICWrs_BICXrs_EONWrs_EONXrs_EORWrs_EORXrs_ORNWrs_ORNXrs_ORRWrs_ORRXrs_SUBSWrs_SUBSXrs_SUBWrs_SUBXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 121: // EXTRWrri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1066; // M5WriteA1W
return 1067; // M5WriteAFW
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 122: // EXTRXrri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1023; // M3WriteA1_ReadExtrHi
return 1024; // M3WriteAA_ReadExtrHi
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1025; // M4WriteA1_ReadExtrHi
return 1026; // M4WriteAF_ReadExtrHi
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1064; // M5WriteA1X
return 1068; // M5WriteAFX
if ((
(
MI->getOpcode() == AArch64::EXTRWrri
|| MI->getOpcode() == AArch64::EXTRXrri
)
&& MI->getOperand(1).getReg() == MI->getOperand(2).getReg()
))
return 1027; // M5WriteA1W_ReadExtrHi
return 1028; // M5WriteAFW_ReadExtrHi
}
break;
case 133: // CRC32Brr_CRC32CBrr_CRC32CHrr_CRC32CWrr_CRC32CXrr_CRC32Hrr_CRC32Wrr_CRC32Xrr
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 256: // FMAXNMVv4i16v_FMAXNMVv4i32v_FMAXNMVv8i16v_FMAXVv4i16v_FMAXVv4i32v_FMAXVv8i16v_FMINNMVv4i16v_FMINNMVv4i32v_FMINNMVv8i16v_FMINVv4i16v_FMINVv4i32v_FMINVv8i16v
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1069; // M4WriteNEONO
return 1070; // M4WriteNEONN
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1071; // M5WriteNEONO
return 1072; // M5WriteNEONN
}
break;
case 318: // LDRBroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 319: // LDRBroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 324: // LDRDroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 325: // LDRDroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 327: // LDRHHroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 328: // LDRHHroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 331: // LDRHroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 332: // LDRHroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 337: // LDRQroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1082; // M3WriteL5_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 338: // LDRQroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1082; // M3WriteL5_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1084; // M5WriteL6_ReadDefault
return 1084; // M5WriteL6_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 340: // LDRSHWroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 341: // LDRSHWroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 342: // LDRSHXroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 343: // LDRSHXroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 347: // LDRSroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1075; // M3WriteLE_ReadDefault
return 1075; // M3WriteLE_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1076; // M4WriteLE_ReadDefault
return 1076; // M4WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1077; // M5WriteLE_ReadDefault
return 1077; // M5WriteLE_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 348: // LDRSroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1080; // WriteVLD_ReadDefault
return 1080; // WriteVLD_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 359: // STPDpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 360: // STPDpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 362: // STPQpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 363: // STPQpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 364: // STPSpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 365: // STPSpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 366: // STPWpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 367: // STPWpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 369: // STPXpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 370: // STPXpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 371: // STRBBpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 372: // STRBBpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 373: // STRBpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 374: // STRBpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 375: // STRBroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 376: // STRBroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 377: // STRDpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 378: // STRDpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 379: // STRHHpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 380: // STRHHpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 381: // STRHHroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 382: // STRHHroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 383: // STRHpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 384: // STRHpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 385: // STRHroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 386: // STRHroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 387: // STRQpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 388: // STRQpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 389: // STRQroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1099; // M5WriteVSTK_ReadDefault
return 1099; // M5WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1097; // FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 390: // STRQroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1099; // M5WriteVSTK_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1097; // FalkorWr_1XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
return 1098; // FalkorWr_2XYZ_2ST_2VSD_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 392: // STRSpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 393: // STRSpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 394: // STRWpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 395: // STRWpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 396: // STRXpost
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 397: // STRXpre
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 399: // MOVZWi_MOVZXi
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRZero(*MI))
return 1043; // WriteX
return 1100; // WriteImm
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (MI->getOperand(1).isImm() &&
MI->getOperand(1).getImm() == 0)
return 1101; // FalkorWr_1none_0cyc
return 1102; // FalkorWr_1XYZB_0cyc
}
break;
case 400: // ANDWri_ANDXri
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRZero(*MI))
return 1043; // WriteX
return 1100; // WriteImm
}
break;
case 401: // ORRXrr_ADDXrr
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRCopy(*MI))
return 1043; // WriteX
if (TII->isFPRCopy(*MI))
return 1043; // WriteX
return 1044; // WriteI
}
break;
case 403: // ORRv16i8
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isFPRCopy(*MI))
return 1043; // WriteX
return 1103; // WriteV
}
break;
case 472: // FMAXNMVv4i32v_FMAXVv4i32v_FMINNMVv4i32v_FMINVv4i32v
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1069; // M4WriteNEONO
return 1070; // M4WriteNEONN
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
MI->getOperand(0).isReg()
&& (
MI->getOperand(0).getReg() == AArch64::Q0
|| MI->getOperand(0).getReg() == AArch64::Q1
|| MI->getOperand(0).getReg() == AArch64::Q2
|| MI->getOperand(0).getReg() == AArch64::Q3
|| MI->getOperand(0).getReg() == AArch64::Q4
|| MI->getOperand(0).getReg() == AArch64::Q5
|| MI->getOperand(0).getReg() == AArch64::Q6
|| MI->getOperand(0).getReg() == AArch64::Q7
|| MI->getOperand(0).getReg() == AArch64::Q8
|| MI->getOperand(0).getReg() == AArch64::Q9
|| MI->getOperand(0).getReg() == AArch64::Q10
|| MI->getOperand(0).getReg() == AArch64::Q11
|| MI->getOperand(0).getReg() == AArch64::Q12
|| MI->getOperand(0).getReg() == AArch64::Q13
|| MI->getOperand(0).getReg() == AArch64::Q14
|| MI->getOperand(0).getReg() == AArch64::Q15
|| MI->getOperand(0).getReg() == AArch64::Q16
|| MI->getOperand(0).getReg() == AArch64::Q17
|| MI->getOperand(0).getReg() == AArch64::Q18
|| MI->getOperand(0).getReg() == AArch64::Q19
|| MI->getOperand(0).getReg() == AArch64::Q20
|| MI->getOperand(0).getReg() == AArch64::Q21
|| MI->getOperand(0).getReg() == AArch64::Q22
|| MI->getOperand(0).getReg() == AArch64::Q23
|| MI->getOperand(0).getReg() == AArch64::Q24
|| MI->getOperand(0).getReg() == AArch64::Q25
|| MI->getOperand(0).getReg() == AArch64::Q26
|| MI->getOperand(0).getReg() == AArch64::Q27
|| MI->getOperand(0).getReg() == AArch64::Q28
|| MI->getOperand(0).getReg() == AArch64::Q29
|| MI->getOperand(0).getReg() == AArch64::Q30
|| MI->getOperand(0).getReg() == AArch64::Q31
)
))
return 1071; // M5WriteNEONO
return 1072; // M5WriteNEONN
}
break;
case 561: // ADDXrr
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRCopy(*MI))
return 1043; // WriteX
if (TII->isFPRCopy(*MI))
return 1043; // WriteX
return 1044; // WriteI
}
break;
case 565: // ANDSWrs_ANDSXrs_ANDWrs_ANDXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 567: // BICSWrs_BICSXrs_BICWrs_BICXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 569: // EONWrs_EONXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 572: // EORWrs_EORXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 574: // ORNWrs_ORNXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 575: // ORRWri_ORRXri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isZeroIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (MI->getOperand(1).getReg() == AArch64::WZR ||
MI->getOperand(1).getReg() == AArch64::XZR)
return 1104; // FalkorWr_1XYZ_0cyc
return 1058; // FalkorWr_1XYZ_1cyc
}
break;
case 577: // ORRWrs_ORRXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 581: // ADDSWrs_ADDSXrs_ADDWrs_ADDXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 582: // ADDSWrx_ADDSXrx_ADDSXrx64_ADDWrx_ADDXrx_ADDXrx64
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 583: // SUBSWrx_SUBSXrx_SUBSXrx64_SUBWrx_SUBXrx_SUBXrx64
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 591: // MOVID_MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns_MVNIv2i32_MVNIv2s_msl_MVNIv4i16
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 601: // MOVIv16b_ns_MOVIv2d_ns_MOVIv4i32_MOVIv4s_msl_MOVIv8i16_MVNIv4i32_MVNIv4s_msl_MVNIv8i16
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 614: // STRDroW_STRDroX_STRSroW_STRSroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 635: // FMOVWSr_FMOVXDHighr_FMOVXDr
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (MI->getOperand(1).getReg() == AArch64::WZR ||
MI->getOperand(1).getReg() == AArch64::XZR)
return 1101; // FalkorWr_1none_0cyc
return 1106; // FalkorWr_1GTOV_1cyc
}
break;
case 649: // LDRBBroW_LDRBBroX_LDRWroW_LDRWroX_LDRXroW_LDRXroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 653: // PRFMroW_PRFMroX
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1107; // FalkorWr_1ST_3cyc
return 1108; // FalkorWr_1XYZ_1ST_4cyc
}
break;
case 656: // LDRSBWroW_LDRSBWroX_LDRSBXroW_LDRSBXroX_LDRSWroW_LDRSWroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 694: // STRBBroW_STRBBroX_STRWroW_STRWroX_STRXroW_STRXroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 713: // ANDSWrs_ANDWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 714: // ANDWri
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (TII->isGPRZero(*MI))
return 1043; // WriteX
return 1100; // WriteImm
}
break;
case 716: // BICSWrs_BICWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 718: // EONWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 721: // EORWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 723: // ORNWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 724: // ORRWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 725: // ORRWri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isZeroIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (MI->getOperand(1).getReg() == AArch64::WZR ||
MI->getOperand(1).getReg() == AArch64::XZR)
return 1104; // FalkorWr_1XYZ_0cyc
return 1058; // FalkorWr_1XYZ_1cyc
}
break;
case 744: // FMOVXDHighr
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (MI->getOperand(1).getReg() == AArch64::WZR ||
MI->getOperand(1).getReg() == AArch64::XZR)
return 1101; // FalkorWr_1none_0cyc
return 1106; // FalkorWr_1GTOV_1cyc
}
break;
case 763: // ADDWrs_ADDXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 764: // ANDWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 765: // ANDXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 766: // BICWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 767: // BICXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 768: // SUBWrs_SUBXrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 769: // ADDWri_ADDXri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1064; // M5WriteA1X
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1064; // M5WriteA1X
return 1065; // M5WriteAAX
}
break;
case 770: // LDRBBroW_LDRWroW_LDRXroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 771: // LDRSBWroW_LDRSBXroW_LDRSWroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1085; // FalkorWr_1LD_4cyc_FalkorReadIncLd
return 1086; // FalkorWr_1XYZ_1LD_5cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 772: // PRFMroW
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1107; // FalkorWr_1ST_3cyc
return 1108; // FalkorWr_1XYZ_1ST_4cyc
}
break;
case 773: // STRBBroW_STRWroW_STRXroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 782: // STRDroW_STRSroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 783: // MVNIv2i32_MVNIv2s_msl_MVNIv4i16
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 784: // MVNIv4i32_MVNIv4s_msl_MVNIv8i16
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
case 853: // ADDWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 854: // SUBWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 855: // ADDSWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 856: // SUBSWrs
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 3) { // CortexA57Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1057; // WriteISReg
return 1044; // WriteI
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 857: // ADDSWrx_ADDWrx
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 858: // SUBSWrx_SUBWrx
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1019; // WriteIEReg_ReadI_A53ReadShifted
return 1020; // WriteIEReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
if (AArch64InstrInfo::isExynosLogicFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1058; // FalkorWr_1XYZ_1cyc
return 1059; // FalkorWr_2XYZ_2cyc
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasExtendedReg(*MI))
return 1021; // WriteIEReg_ReadI_THXT8XReadShifted
return 1022; // WriteIEReg_ReadI_THXT8XReadNotShifted
}
break;
case 859: // ADDWri
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isCopyIdiom(*MI))
return 1046; // M3WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1060; // M3WriteA1
return 1061; // M3WriteAA
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1048; // M4WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1062; // M4WriteA1
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1062; // M4WriteA1
return 1063; // M4WriteAA
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosResetFast(*MI))
return 1050; // M5WriteZ0
if (AArch64InstrInfo::isExynosArithFast(*MI))
return 1066; // M5WriteA1W
if (AArch64InstrInfo::isExynosLogicExFast(*MI))
return 1066; // M5WriteA1W
return 1109; // M5WriteAAW
}
break;
case 891: // CRC32Brr_CRC32Hrr_CRC32Wrr_CRC32Xrr
if (SchedModel->getProcessorID() == 2) { // CortexA53Model
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1015; // WriteISReg_ReadI_A53ReadShifted
return 1016; // WriteISReg_ReadI_A53ReadNotShifted
}
if (SchedModel->getProcessorID() == 9) { // ThunderXT8XModel
if (AArch64InstrInfo::hasShiftedReg(*MI))
return 1017; // WriteISReg_ReadI_THXT8XReadShifted
return 1018; // WriteISReg_ReadI_THXT8XReadNotShifted
}
break;
case 920: // LDRWroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 921: // LDRXroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1081; // M3WriteLB_ReadDefault
return 1081; // M3WriteLB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
return 1031; // M4WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
return 1033; // M5WriteL5_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 922: // LDRWroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 923: // LDRXroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1029; // (WriteIS_WriteLD)_ReadBaseRS
return 1030; // WriteLD_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1082; // M3WriteL5_ReadDefault
return 1083; // M3WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1031; // M4WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1032; // M4WriteL4_ReadDefault
return 1032; // M4WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1033; // M5WriteL5_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1034; // M5WriteL4_ReadDefault
return 1034; // M5WriteL4_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1073; // FalkorWr_1LD_3cyc_FalkorReadIncLd
return 1074; // FalkorWr_1XYZ_1LD_4cyc_FalkorReadIncLd
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
return 1078; // THX2T99Write_4Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
return 1079; // THX2T99Write_4Cyc_LS01_I012_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1035; // THX2T99Write_6Cyc_LS01_I012_I012_ReadDefault
return 1036; // THX2T99Write_5Cyc_LS01_I012_ReadDefault
}
break;
case 946: // STRBBroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 947: // STRBBroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 948: // STRDroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1091; // M3WriteSA_ReadDefault
return 1091; // M3WriteSA_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1092; // M4WriteVSTK_ReadDefault
return 1092; // M4WriteVSTK_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 949: // STRDroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1093; // WriteVST_ReadDefault
return 1093; // WriteVST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1089; // FalkorWr_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1090; // FalkorWr_1XYZ_1VSD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 950: // STRWroW
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1096; // M3WriteSB_ReadDefault
return 1096; // M3WriteSB_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
return 1039; // M4WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
return 1041; // M5WriteSB_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 951: // STRWroX
if (SchedModel->getProcessorID() == 1) { // CycloneModel
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1037; // (WriteIS_WriteST)_ReadBaseRS
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isExynosScaledAddr(*MI))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1039; // M4WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1040; // M4WriteS1_ReadDefault
return 1040; // M4WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1038; // WriteST_ReadDefault
return 1038; // WriteST_ReadDefault
if (AArch64InstrInfo::isExynosScaledAddr(*MI)
&& (
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1041; // M5WriteSB_ReadDefault
if ((
AArch64InstrInfo::isScaledAddr(*MI)
|| AArch64InstrInfo::isExynosScaledAddr(*MI)
))
return 1042; // M5WriteS1_ReadDefault
return 1042; // M5WriteS1_ReadDefault
}
if (SchedModel->getProcessorID() == 7) { // FalkorModel
if (TII->isFalkorShiftExtFast(*MI))
return 1094; // FalkorWr_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
return 1095; // FalkorWr_1XYZ_1SD_1ST_0cyc_ReadDefault_FalkorReadIncSt
}
if (SchedModel->getProcessorID() == 10) { // ThunderX2T99Model
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
return 1087; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_ReadDefault
if (AArch64InstrInfo::isScaledAddr(*MI))
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
return 1088; // WriteAdr_THX2T99Write_1Cyc_LS01_I012_I012_ReadDefault
}
break;
case 962: // MOVIv2i32_MOVIv2s_msl_MOVIv4i16_MOVIv8b_ns
if (SchedModel->getProcessorID() == 4) { // ExynosM3Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1046; // M3WriteZ0
return 1045; // M3WriteNALU1
}
if (SchedModel->getProcessorID() == 5) { // ExynosM4Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1048; // M4WriteZ0
return 1047; // M4WriteNALU1
}
if (SchedModel->getProcessorID() == 6) { // ExynosM5Model
if (AArch64InstrInfo::isZeroFPIdiom(*MI))
return 1050; // M5WriteZ0
return 1105; // M5WriteNALU1
}
break;
};
report_fatal_error("Expected a variant SchedClass");
} // AArch64GenSubtargetInfo::resolveSchedClass
unsigned AArch64GenSubtargetInfo
::resolveVariantSchedClass(unsigned SchedClass, const MCInst *MI, unsigned CPUID) const {
return AArch64_MC::resolveVariantSchedClassImpl(SchedClass, MI, CPUID);
} // AArch64GenSubtargetInfo::resolveVariantSchedClass
} // end namespace llvm
#endif // GET_SUBTARGETINFO_CTOR
#ifdef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#undef GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#endif // GET_STIPREDICATE_DECLS_FOR_MC_ANALYSIS
#ifdef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
#undef GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS
#endif // GET_STIPREDICATE_DEFS_FOR_MC_ANALYSIS