blob: b161080536acbd441cbd39dc7d324e6cff39d1f0 [file] [log] [blame]
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
|* *|
|* Assembly Matcher Source Fragment *|
|* *|
|* Automatically generated file, do not edit! *|
|* *|
\*===----------------------------------------------------------------------===*/
#ifdef GET_ASSEMBLER_HEADER
#undef GET_ASSEMBLER_HEADER
// This should be included into the middle of the declaration of
// your subclasses implementation of MCTargetAsmParser.
FeatureBitset ComputeAvailableFeatures(const FeatureBitset& FB) const;
void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
const OperandVector &Operands);
void convertToMapAndConstraints(unsigned Kind,
const OperandVector &Operands) override;
unsigned MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
FeatureBitset &MissingFeatures,
bool matchingInlineAsm,
unsigned VariantID = 0);
unsigned MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
bool matchingInlineAsm,
unsigned VariantID = 0) {
FeatureBitset MissingFeatures;
return MatchInstructionImpl(Operands, Inst, ErrorInfo, MissingFeatures,
matchingInlineAsm, VariantID);
}
OperandMatchResultTy MatchOperandParserImpl(
OperandVector &Operands,
StringRef Mnemonic,
bool ParseForAllFeatures = false);
OperandMatchResultTy tryCustomParseOperand(
OperandVector &Operands,
unsigned MCK);
#endif // GET_ASSEMBLER_HEADER_INFO
#ifdef GET_OPERAND_DIAGNOSTIC_TYPES
#undef GET_OPERAND_DIAGNOSTIC_TYPES
Match_AddSubRegExtendLarge,
Match_AddSubRegExtendSmall,
Match_AddSubRegShift32,
Match_AddSubRegShift64,
Match_AddSubSecondSource,
Match_InvalidComplexRotationEven,
Match_InvalidComplexRotationOdd,
Match_InvalidCondCode,
Match_InvalidFPImm,
Match_InvalidGPR64NoXZRshifted16,
Match_InvalidGPR64NoXZRshifted32,
Match_InvalidGPR64NoXZRshifted64,
Match_InvalidGPR64NoXZRshifted8,
Match_InvalidGPR64shifted16,
Match_InvalidGPR64shifted32,
Match_InvalidGPR64shifted64,
Match_InvalidGPR64shifted8,
Match_InvalidImm0_1,
Match_InvalidImm0_127,
Match_InvalidImm0_15,
Match_InvalidImm0_255,
Match_InvalidImm0_31,
Match_InvalidImm0_63,
Match_InvalidImm0_65535,
Match_InvalidImm0_7,
Match_InvalidImm1_16,
Match_InvalidImm1_32,
Match_InvalidImm1_64,
Match_InvalidImm1_8,
Match_InvalidIndexRange0_1,
Match_InvalidIndexRange0_15,
Match_InvalidIndexRange0_3,
Match_InvalidIndexRange0_7,
Match_InvalidIndexRange1_1,
Match_InvalidLabel,
Match_InvalidMemoryIndexed1,
Match_InvalidMemoryIndexed16,
Match_InvalidMemoryIndexed16SImm4,
Match_InvalidMemoryIndexed16SImm7,
Match_InvalidMemoryIndexed16SImm9,
Match_InvalidMemoryIndexed16UImm6,
Match_InvalidMemoryIndexed1SImm4,
Match_InvalidMemoryIndexed1SImm6,
Match_InvalidMemoryIndexed1UImm6,
Match_InvalidMemoryIndexed2,
Match_InvalidMemoryIndexed2SImm4,
Match_InvalidMemoryIndexed2UImm5,
Match_InvalidMemoryIndexed2UImm6,
Match_InvalidMemoryIndexed3SImm4,
Match_InvalidMemoryIndexed4,
Match_InvalidMemoryIndexed4SImm4,
Match_InvalidMemoryIndexed4SImm7,
Match_InvalidMemoryIndexed4UImm5,
Match_InvalidMemoryIndexed4UImm6,
Match_InvalidMemoryIndexed8,
Match_InvalidMemoryIndexed8SImm10,
Match_InvalidMemoryIndexed8SImm7,
Match_InvalidMemoryIndexed8UImm5,
Match_InvalidMemoryIndexed8UImm6,
Match_InvalidMemoryIndexedSImm5,
Match_InvalidMemoryIndexedSImm6,
Match_InvalidMemoryIndexedSImm8,
Match_InvalidMemoryIndexedSImm9,
Match_InvalidMemoryWExtend128,
Match_InvalidMemoryWExtend16,
Match_InvalidMemoryWExtend32,
Match_InvalidMemoryWExtend64,
Match_InvalidMemoryWExtend8,
Match_InvalidMemoryXExtend128,
Match_InvalidMemoryXExtend16,
Match_InvalidMemoryXExtend32,
Match_InvalidMemoryXExtend64,
Match_InvalidMemoryXExtend8,
Match_InvalidMovImm32Shift,
Match_InvalidMovImm64Shift,
Match_InvalidSVEAddSubImm16,
Match_InvalidSVEAddSubImm32,
Match_InvalidSVEAddSubImm64,
Match_InvalidSVEAddSubImm8,
Match_InvalidSVECpyImm16,
Match_InvalidSVECpyImm32,
Match_InvalidSVECpyImm64,
Match_InvalidSVECpyImm8,
Match_InvalidSVEExactFPImmOperandHalfOne,
Match_InvalidSVEExactFPImmOperandHalfTwo,
Match_InvalidSVEExactFPImmOperandZeroOne,
Match_InvalidSVEIndexRange0_15,
Match_InvalidSVEIndexRange0_3,
Match_InvalidSVEIndexRange0_31,
Match_InvalidSVEIndexRange0_63,
Match_InvalidSVEIndexRange0_7,
Match_InvalidSVEPattern,
Match_InvalidSVEPredicate3bAnyReg,
Match_InvalidSVEPredicate3bBReg,
Match_InvalidSVEPredicate3bDReg,
Match_InvalidSVEPredicate3bHReg,
Match_InvalidSVEPredicate3bSReg,
Match_InvalidSVEPredicateAnyReg,
Match_InvalidSVEPredicateBReg,
Match_InvalidSVEPredicateDReg,
Match_InvalidSVEPredicateHReg,
Match_InvalidSVEPredicateSReg,
Match_InvalidZPR0,
Match_InvalidZPR128,
Match_InvalidZPR16,
Match_InvalidZPR32,
Match_InvalidZPR32LSL16,
Match_InvalidZPR32LSL32,
Match_InvalidZPR32LSL64,
Match_InvalidZPR32LSL8,
Match_InvalidZPR32SXTW16,
Match_InvalidZPR32SXTW32,
Match_InvalidZPR32SXTW64,
Match_InvalidZPR32SXTW8,
Match_InvalidZPR32UXTW16,
Match_InvalidZPR32UXTW32,
Match_InvalidZPR32UXTW64,
Match_InvalidZPR32UXTW8,
Match_InvalidZPR64,
Match_InvalidZPR64LSL16,
Match_InvalidZPR64LSL32,
Match_InvalidZPR64LSL64,
Match_InvalidZPR64LSL8,
Match_InvalidZPR64SXTW16,
Match_InvalidZPR64SXTW32,
Match_InvalidZPR64SXTW64,
Match_InvalidZPR64SXTW8,
Match_InvalidZPR64UXTW16,
Match_InvalidZPR64UXTW32,
Match_InvalidZPR64UXTW64,
Match_InvalidZPR64UXTW8,
Match_InvalidZPR8,
Match_InvalidZPR_3b16,
Match_InvalidZPR_3b32,
Match_InvalidZPR_3b8,
Match_InvalidZPR_4b16,
Match_InvalidZPR_4b32,
Match_InvalidZPR_4b64,
Match_LogicalSecondSource,
Match_MRS,
Match_MSR,
END_OPERAND_DIAGNOSTIC_TYPES
#endif // GET_OPERAND_DIAGNOSTIC_TYPES
#ifdef GET_REGISTER_MATCHER
#undef GET_REGISTER_MATCHER
// Bits for subtarget features that participate in instruction matching.
enum SubtargetFeatureBits : uint8_t {
Feature_HasV8_1aBit = 53,
Feature_HasV8_2aBit = 54,
Feature_HasV8_3aBit = 55,
Feature_HasV8_4aBit = 56,
Feature_HasV8_5aBit = 57,
Feature_HasVHBit = 58,
Feature_HasLORBit = 20,
Feature_HasPABit = 26,
Feature_HasJSBit = 19,
Feature_HasCCIDXBit = 5,
Feature_HasComplxNumBit = 8,
Feature_HasNVBit = 25,
Feature_HasRASv8_4Bit = 33,
Feature_HasMPAMBit = 22,
Feature_HasDITBit = 10,
Feature_HasTRACEV8_4Bit = 51,
Feature_HasAMBit = 1,
Feature_HasSEL2Bit = 38,
Feature_HasPMUBit = 29,
Feature_HasTLB_RMIBit = 49,
Feature_HasFMIBit = 13,
Feature_HasRCPC_IMMOBit = 35,
Feature_HasFPARMv8Bit = 15,
Feature_HasNEONBit = 24,
Feature_HasCryptoBit = 9,
Feature_HasSM4Bit = 41,
Feature_HasSHA3Bit = 40,
Feature_HasSHA2Bit = 39,
Feature_HasAESBit = 0,
Feature_HasDotProdBit = 11,
Feature_HasCRCBit = 7,
Feature_HasLSEBit = 21,
Feature_HasRASBit = 32,
Feature_HasRDMBit = 36,
Feature_HasFullFP16Bit = 17,
Feature_HasFP16FMLBit = 14,
Feature_HasSPEBit = 42,
Feature_HasFuseAESBit = 18,
Feature_HasSVEBit = 43,
Feature_HasSVE2Bit = 44,
Feature_HasSVE2AESBit = 45,
Feature_HasSVE2SM4Bit = 48,
Feature_HasSVE2SHA3Bit = 47,
Feature_HasSVE2BitPermBit = 46,
Feature_HasRCPCBit = 34,
Feature_HasAltNZCVBit = 2,
Feature_HasFRInt3264Bit = 16,
Feature_HasSBBit = 37,
Feature_HasPredResBit = 30,
Feature_HasCCDPBit = 4,
Feature_HasBTIBit = 3,
Feature_HasMTEBit = 23,
Feature_HasTMEBit = 50,
Feature_HasETEBit = 12,
Feature_HasTRBEBit = 52,
Feature_UseNegativeImmediatesBit = 59,
Feature_HasCCPPBit = 6,
Feature_HasPANBit = 27,
Feature_HasPsUAOBit = 31,
Feature_HasPAN_RWVBit = 28,
};
static unsigned MatchRegisterName(StringRef Name) {
switch (Name.size()) {
default: break;
case 2: // 91 strings to match.
switch (Name[0]) {
default: break;
case 'b': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 9; // "b0"
case '1': // 1 string to match.
return 10; // "b1"
case '2': // 1 string to match.
return 11; // "b2"
case '3': // 1 string to match.
return 12; // "b3"
case '4': // 1 string to match.
return 13; // "b4"
case '5': // 1 string to match.
return 14; // "b5"
case '6': // 1 string to match.
return 15; // "b6"
case '7': // 1 string to match.
return 16; // "b7"
case '8': // 1 string to match.
return 17; // "b8"
case '9': // 1 string to match.
return 18; // "b9"
}
break;
case 'd': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 41; // "d0"
case '1': // 1 string to match.
return 42; // "d1"
case '2': // 1 string to match.
return 43; // "d2"
case '3': // 1 string to match.
return 44; // "d3"
case '4': // 1 string to match.
return 45; // "d4"
case '5': // 1 string to match.
return 46; // "d5"
case '6': // 1 string to match.
return 47; // "d6"
case '7': // 1 string to match.
return 48; // "d7"
case '8': // 1 string to match.
return 49; // "d8"
case '9': // 1 string to match.
return 50; // "d9"
}
break;
case 'h': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 73; // "h0"
case '1': // 1 string to match.
return 74; // "h1"
case '2': // 1 string to match.
return 75; // "h2"
case '3': // 1 string to match.
return 76; // "h3"
case '4': // 1 string to match.
return 77; // "h4"
case '5': // 1 string to match.
return 78; // "h5"
case '6': // 1 string to match.
return 79; // "h6"
case '7': // 1 string to match.
return 80; // "h7"
case '8': // 1 string to match.
return 81; // "h8"
case '9': // 1 string to match.
return 82; // "h9"
}
break;
case 'p': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 105; // "p0"
case '1': // 1 string to match.
return 106; // "p1"
case '2': // 1 string to match.
return 107; // "p2"
case '3': // 1 string to match.
return 108; // "p3"
case '4': // 1 string to match.
return 109; // "p4"
case '5': // 1 string to match.
return 110; // "p5"
case '6': // 1 string to match.
return 111; // "p6"
case '7': // 1 string to match.
return 112; // "p7"
case '8': // 1 string to match.
return 113; // "p8"
case '9': // 1 string to match.
return 114; // "p9"
}
break;
case 'q': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 121; // "q0"
case '1': // 1 string to match.
return 122; // "q1"
case '2': // 1 string to match.
return 123; // "q2"
case '3': // 1 string to match.
return 124; // "q3"
case '4': // 1 string to match.
return 125; // "q4"
case '5': // 1 string to match.
return 126; // "q5"
case '6': // 1 string to match.
return 127; // "q6"
case '7': // 1 string to match.
return 128; // "q7"
case '8': // 1 string to match.
return 129; // "q8"
case '9': // 1 string to match.
return 130; // "q9"
}
break;
case 's': // 11 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 153; // "s0"
case '1': // 1 string to match.
return 154; // "s1"
case '2': // 1 string to match.
return 155; // "s2"
case '3': // 1 string to match.
return 156; // "s3"
case '4': // 1 string to match.
return 157; // "s4"
case '5': // 1 string to match.
return 158; // "s5"
case '6': // 1 string to match.
return 159; // "s6"
case '7': // 1 string to match.
return 160; // "s7"
case '8': // 1 string to match.
return 161; // "s8"
case '9': // 1 string to match.
return 162; // "s9"
case 'p': // 1 string to match.
return 5; // "sp"
}
break;
case 'w': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 185; // "w0"
case '1': // 1 string to match.
return 186; // "w1"
case '2': // 1 string to match.
return 187; // "w2"
case '3': // 1 string to match.
return 188; // "w3"
case '4': // 1 string to match.
return 189; // "w4"
case '5': // 1 string to match.
return 190; // "w5"
case '6': // 1 string to match.
return 191; // "w6"
case '7': // 1 string to match.
return 192; // "w7"
case '8': // 1 string to match.
return 193; // "w8"
case '9': // 1 string to match.
return 194; // "w9"
}
break;
case 'x': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 216; // "x0"
case '1': // 1 string to match.
return 217; // "x1"
case '2': // 1 string to match.
return 218; // "x2"
case '3': // 1 string to match.
return 219; // "x3"
case '4': // 1 string to match.
return 220; // "x4"
case '5': // 1 string to match.
return 221; // "x5"
case '6': // 1 string to match.
return 222; // "x6"
case '7': // 1 string to match.
return 223; // "x7"
case '8': // 1 string to match.
return 224; // "x8"
case '9': // 1 string to match.
return 225; // "x9"
}
break;
case 'z': // 10 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return 245; // "z0"
case '1': // 1 string to match.
return 246; // "z1"
case '2': // 1 string to match.
return 247; // "z2"
case '3': // 1 string to match.
return 248; // "z3"
case '4': // 1 string to match.
return 249; // "z4"
case '5': // 1 string to match.
return 250; // "z5"
case '6': // 1 string to match.
return 251; // "z6"
case '7': // 1 string to match.
return 252; // "z7"
case '8': // 1 string to match.
return 253; // "z8"
case '9': // 1 string to match.
return 254; // "z9"
}
break;
}
break;
case 3: // 184 strings to match.
switch (Name[0]) {
default: break;
case 'b': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 19; // "b10"
case '1': // 1 string to match.
return 20; // "b11"
case '2': // 1 string to match.
return 21; // "b12"
case '3': // 1 string to match.
return 22; // "b13"
case '4': // 1 string to match.
return 23; // "b14"
case '5': // 1 string to match.
return 24; // "b15"
case '6': // 1 string to match.
return 25; // "b16"
case '7': // 1 string to match.
return 26; // "b17"
case '8': // 1 string to match.
return 27; // "b18"
case '9': // 1 string to match.
return 28; // "b19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 29; // "b20"
case '1': // 1 string to match.
return 30; // "b21"
case '2': // 1 string to match.
return 31; // "b22"
case '3': // 1 string to match.
return 32; // "b23"
case '4': // 1 string to match.
return 33; // "b24"
case '5': // 1 string to match.
return 34; // "b25"
case '6': // 1 string to match.
return 35; // "b26"
case '7': // 1 string to match.
return 36; // "b27"
case '8': // 1 string to match.
return 37; // "b28"
case '9': // 1 string to match.
return 38; // "b29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 39; // "b30"
case '1': // 1 string to match.
return 40; // "b31"
}
break;
}
break;
case 'd': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 51; // "d10"
case '1': // 1 string to match.
return 52; // "d11"
case '2': // 1 string to match.
return 53; // "d12"
case '3': // 1 string to match.
return 54; // "d13"
case '4': // 1 string to match.
return 55; // "d14"
case '5': // 1 string to match.
return 56; // "d15"
case '6': // 1 string to match.
return 57; // "d16"
case '7': // 1 string to match.
return 58; // "d17"
case '8': // 1 string to match.
return 59; // "d18"
case '9': // 1 string to match.
return 60; // "d19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 61; // "d20"
case '1': // 1 string to match.
return 62; // "d21"
case '2': // 1 string to match.
return 63; // "d22"
case '3': // 1 string to match.
return 64; // "d23"
case '4': // 1 string to match.
return 65; // "d24"
case '5': // 1 string to match.
return 66; // "d25"
case '6': // 1 string to match.
return 67; // "d26"
case '7': // 1 string to match.
return 68; // "d27"
case '8': // 1 string to match.
return 69; // "d28"
case '9': // 1 string to match.
return 70; // "d29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 71; // "d30"
case '1': // 1 string to match.
return 72; // "d31"
}
break;
}
break;
case 'f': // 1 string to match.
if (memcmp(Name.data()+1, "fr", 2) != 0)
break;
return 1; // "ffr"
case 'h': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 83; // "h10"
case '1': // 1 string to match.
return 84; // "h11"
case '2': // 1 string to match.
return 85; // "h12"
case '3': // 1 string to match.
return 86; // "h13"
case '4': // 1 string to match.
return 87; // "h14"
case '5': // 1 string to match.
return 88; // "h15"
case '6': // 1 string to match.
return 89; // "h16"
case '7': // 1 string to match.
return 90; // "h17"
case '8': // 1 string to match.
return 91; // "h18"
case '9': // 1 string to match.
return 92; // "h19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 93; // "h20"
case '1': // 1 string to match.
return 94; // "h21"
case '2': // 1 string to match.
return 95; // "h22"
case '3': // 1 string to match.
return 96; // "h23"
case '4': // 1 string to match.
return 97; // "h24"
case '5': // 1 string to match.
return 98; // "h25"
case '6': // 1 string to match.
return 99; // "h26"
case '7': // 1 string to match.
return 100; // "h27"
case '8': // 1 string to match.
return 101; // "h28"
case '9': // 1 string to match.
return 102; // "h29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 103; // "h30"
case '1': // 1 string to match.
return 104; // "h31"
}
break;
}
break;
case 'p': // 6 strings to match.
if (Name[1] != '1')
break;
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 115; // "p10"
case '1': // 1 string to match.
return 116; // "p11"
case '2': // 1 string to match.
return 117; // "p12"
case '3': // 1 string to match.
return 118; // "p13"
case '4': // 1 string to match.
return 119; // "p14"
case '5': // 1 string to match.
return 120; // "p15"
}
break;
case 'q': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 131; // "q10"
case '1': // 1 string to match.
return 132; // "q11"
case '2': // 1 string to match.
return 133; // "q12"
case '3': // 1 string to match.
return 134; // "q13"
case '4': // 1 string to match.
return 135; // "q14"
case '5': // 1 string to match.
return 136; // "q15"
case '6': // 1 string to match.
return 137; // "q16"
case '7': // 1 string to match.
return 138; // "q17"
case '8': // 1 string to match.
return 139; // "q18"
case '9': // 1 string to match.
return 140; // "q19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 141; // "q20"
case '1': // 1 string to match.
return 142; // "q21"
case '2': // 1 string to match.
return 143; // "q22"
case '3': // 1 string to match.
return 144; // "q23"
case '4': // 1 string to match.
return 145; // "q24"
case '5': // 1 string to match.
return 146; // "q25"
case '6': // 1 string to match.
return 147; // "q26"
case '7': // 1 string to match.
return 148; // "q27"
case '8': // 1 string to match.
return 149; // "q28"
case '9': // 1 string to match.
return 150; // "q29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 151; // "q30"
case '1': // 1 string to match.
return 152; // "q31"
}
break;
}
break;
case 's': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 163; // "s10"
case '1': // 1 string to match.
return 164; // "s11"
case '2': // 1 string to match.
return 165; // "s12"
case '3': // 1 string to match.
return 166; // "s13"
case '4': // 1 string to match.
return 167; // "s14"
case '5': // 1 string to match.
return 168; // "s15"
case '6': // 1 string to match.
return 169; // "s16"
case '7': // 1 string to match.
return 170; // "s17"
case '8': // 1 string to match.
return 171; // "s18"
case '9': // 1 string to match.
return 172; // "s19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 173; // "s20"
case '1': // 1 string to match.
return 174; // "s21"
case '2': // 1 string to match.
return 175; // "s22"
case '3': // 1 string to match.
return 176; // "s23"
case '4': // 1 string to match.
return 177; // "s24"
case '5': // 1 string to match.
return 178; // "s25"
case '6': // 1 string to match.
return 179; // "s26"
case '7': // 1 string to match.
return 180; // "s27"
case '8': // 1 string to match.
return 181; // "s28"
case '9': // 1 string to match.
return 182; // "s29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 183; // "s30"
case '1': // 1 string to match.
return 184; // "s31"
}
break;
}
break;
case 'w': // 23 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 195; // "w10"
case '1': // 1 string to match.
return 196; // "w11"
case '2': // 1 string to match.
return 197; // "w12"
case '3': // 1 string to match.
return 198; // "w13"
case '4': // 1 string to match.
return 199; // "w14"
case '5': // 1 string to match.
return 200; // "w15"
case '6': // 1 string to match.
return 201; // "w16"
case '7': // 1 string to match.
return 202; // "w17"
case '8': // 1 string to match.
return 203; // "w18"
case '9': // 1 string to match.
return 204; // "w19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 205; // "w20"
case '1': // 1 string to match.
return 206; // "w21"
case '2': // 1 string to match.
return 207; // "w22"
case '3': // 1 string to match.
return 208; // "w23"
case '4': // 1 string to match.
return 209; // "w24"
case '5': // 1 string to match.
return 210; // "w25"
case '6': // 1 string to match.
return 211; // "w26"
case '7': // 1 string to match.
return 212; // "w27"
case '8': // 1 string to match.
return 213; // "w28"
case '9': // 1 string to match.
return 214; // "w29"
}
break;
case '3': // 1 string to match.
if (Name[2] != '0')
break;
return 215; // "w30"
case 's': // 1 string to match.
if (Name[2] != 'p')
break;
return 6; // "wsp"
case 'z': // 1 string to match.
if (Name[2] != 'r')
break;
return 7; // "wzr"
}
break;
case 'x': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 226; // "x10"
case '1': // 1 string to match.
return 227; // "x11"
case '2': // 1 string to match.
return 228; // "x12"
case '3': // 1 string to match.
return 229; // "x13"
case '4': // 1 string to match.
return 230; // "x14"
case '5': // 1 string to match.
return 231; // "x15"
case '6': // 1 string to match.
return 232; // "x16"
case '7': // 1 string to match.
return 233; // "x17"
case '8': // 1 string to match.
return 234; // "x18"
case '9': // 1 string to match.
return 235; // "x19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 236; // "x20"
case '1': // 1 string to match.
return 237; // "x21"
case '2': // 1 string to match.
return 238; // "x22"
case '3': // 1 string to match.
return 239; // "x23"
case '4': // 1 string to match.
return 240; // "x24"
case '5': // 1 string to match.
return 241; // "x25"
case '6': // 1 string to match.
return 242; // "x26"
case '7': // 1 string to match.
return 243; // "x27"
case '8': // 1 string to match.
return 244; // "x28"
case '9': // 1 string to match.
return 2; // "x29"
}
break;
case '3': // 1 string to match.
if (Name[2] != '0')
break;
return 3; // "x30"
case 'z': // 1 string to match.
if (Name[2] != 'r')
break;
return 8; // "xzr"
}
break;
case 'z': // 22 strings to match.
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 255; // "z10"
case '1': // 1 string to match.
return 256; // "z11"
case '2': // 1 string to match.
return 257; // "z12"
case '3': // 1 string to match.
return 258; // "z13"
case '4': // 1 string to match.
return 259; // "z14"
case '5': // 1 string to match.
return 260; // "z15"
case '6': // 1 string to match.
return 261; // "z16"
case '7': // 1 string to match.
return 262; // "z17"
case '8': // 1 string to match.
return 263; // "z18"
case '9': // 1 string to match.
return 264; // "z19"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 265; // "z20"
case '1': // 1 string to match.
return 266; // "z21"
case '2': // 1 string to match.
return 267; // "z22"
case '3': // 1 string to match.
return 268; // "z23"
case '4': // 1 string to match.
return 269; // "z24"
case '5': // 1 string to match.
return 270; // "z25"
case '6': // 1 string to match.
return 271; // "z26"
case '7': // 1 string to match.
return 272; // "z27"
case '8': // 1 string to match.
return 273; // "z28"
case '9': // 1 string to match.
return 274; // "z29"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return 275; // "z30"
case '1': // 1 string to match.
return 276; // "z31"
}
break;
}
break;
}
break;
case 4: // 1 string to match.
if (memcmp(Name.data()+0, "nzcv", 4) != 0)
break;
return 4; // "nzcv"
case 5: // 10 strings to match.
if (Name[0] != 'z')
break;
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 277; // "z0_hi"
case '1': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 278; // "z1_hi"
case '2': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 279; // "z2_hi"
case '3': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 280; // "z3_hi"
case '4': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 281; // "z4_hi"
case '5': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 282; // "z5_hi"
case '6': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 283; // "z6_hi"
case '7': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 284; // "z7_hi"
case '8': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 285; // "z8_hi"
case '9': // 1 string to match.
if (memcmp(Name.data()+2, "_hi", 3) != 0)
break;
return 286; // "z9_hi"
}
break;
case 6: // 22 strings to match.
if (Name[0] != 'z')
break;
switch (Name[1]) {
default: break;
case '1': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 287; // "z10_hi"
case '1': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 288; // "z11_hi"
case '2': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 289; // "z12_hi"
case '3': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 290; // "z13_hi"
case '4': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 291; // "z14_hi"
case '5': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 292; // "z15_hi"
case '6': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 293; // "z16_hi"
case '7': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 294; // "z17_hi"
case '8': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 295; // "z18_hi"
case '9': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 296; // "z19_hi"
}
break;
case '2': // 10 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 297; // "z20_hi"
case '1': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 298; // "z21_hi"
case '2': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 299; // "z22_hi"
case '3': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 300; // "z23_hi"
case '4': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 301; // "z24_hi"
case '5': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 302; // "z25_hi"
case '6': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 303; // "z26_hi"
case '7': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 304; // "z27_hi"
case '8': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 305; // "z28_hi"
case '9': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 306; // "z29_hi"
}
break;
case '3': // 2 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 307; // "z30_hi"
case '1': // 1 string to match.
if (memcmp(Name.data()+3, "_hi", 3) != 0)
break;
return 308; // "z31_hi"
}
break;
}
break;
}
return 0;
}
#endif // GET_REGISTER_MATCHER
#ifdef GET_SUBTARGET_FEATURE_NAME
#undef GET_SUBTARGET_FEATURE_NAME
// User-level names for subtarget features that participate in
// instruction matching.
static const char *getSubtargetFeatureName(uint64_t Val) {
switch(Val) {
case Feature_HasV8_1aBit: return "armv8.1a";
case Feature_HasV8_2aBit: return "armv8.2a";
case Feature_HasV8_3aBit: return "armv8.3a";
case Feature_HasV8_4aBit: return "armv8.4a";
case Feature_HasV8_5aBit: return "armv8.5a";
case Feature_HasVHBit: return "vh";
case Feature_HasLORBit: return "lor";
case Feature_HasPABit: return "pa";
case Feature_HasJSBit: return "jsconv";
case Feature_HasCCIDXBit: return "ccidx";
case Feature_HasComplxNumBit: return "complxnum";
case Feature_HasNVBit: return "nv";
case Feature_HasRASv8_4Bit: return "rasv8_4";
case Feature_HasMPAMBit: return "mpam";
case Feature_HasDITBit: return "dit";
case Feature_HasTRACEV8_4Bit: return "tracev8.4";
case Feature_HasAMBit: return "am";
case Feature_HasSEL2Bit: return "sel2";
case Feature_HasPMUBit: return "pmu";
case Feature_HasTLB_RMIBit: return "tlb-rmi";
case Feature_HasFMIBit: return "fmi";
case Feature_HasRCPC_IMMOBit: return "rcpc-immo";
case Feature_HasFPARMv8Bit: return "fp-armv8";
case Feature_HasNEONBit: return "neon";
case Feature_HasCryptoBit: return "crypto";
case Feature_HasSM4Bit: return "sm4";
case Feature_HasSHA3Bit: return "sha3";
case Feature_HasSHA2Bit: return "sha2";
case Feature_HasAESBit: return "aes";
case Feature_HasDotProdBit: return "dotprod";
case Feature_HasCRCBit: return "crc";
case Feature_HasLSEBit: return "lse";
case Feature_HasRASBit: return "ras";
case Feature_HasRDMBit: return "rdm";
case Feature_HasFullFP16Bit: return "fullfp16";
case Feature_HasFP16FMLBit: return "fp16fml";
case Feature_HasSPEBit: return "spe";
case Feature_HasFuseAESBit: return "fuse-aes";
case Feature_HasSVEBit: return "sve";
case Feature_HasSVE2Bit: return "sve2";
case Feature_HasSVE2AESBit: return "sve2-aes";
case Feature_HasSVE2SM4Bit: return "sve2-sm4";
case Feature_HasSVE2SHA3Bit: return "sve2-sha3";
case Feature_HasSVE2BitPermBit: return "sve2-bitperm";
case Feature_HasRCPCBit: return "rcpc";
case Feature_HasAltNZCVBit: return "altnzcv";
case Feature_HasFRInt3264Bit: return "frint3264";
case Feature_HasSBBit: return "sb";
case Feature_HasPredResBit: return "predres";
case Feature_HasCCDPBit: return "ccdp";
case Feature_HasBTIBit: return "bti";
case Feature_HasMTEBit: return "mte";
case Feature_HasTMEBit: return "tme";
case Feature_HasETEBit: return "ete";
case Feature_HasTRBEBit: return "trbe";
case Feature_UseNegativeImmediatesBit: return "NegativeImmediates";
case Feature_HasCCPPBit: return "ccpp";
case Feature_HasPANBit: return "ARM v8.1 Privileged Access-Never extension";
case Feature_HasPsUAOBit: return "ARM v8.2 UAO PState extension (psuao)";
case Feature_HasPAN_RWVBit: return "ARM v8.2 PAN AT S1E1R and AT S1E1W Variation";
default: return "(unknown)";
}
}
#endif // GET_SUBTARGET_FEATURE_NAME
#ifdef GET_MATCHER_IMPLEMENTATION
#undef GET_MATCHER_IMPLEMENTATION
enum {
Tie0_1_1,
Tie0_1_2,
Tie0_1_3,
Tie0_1_5,
Tie0_1_6,
Tie0_2_2,
Tie0_3_3,
Tie0_4_4,
Tie0_5_5,
Tie1_1_1,
Tie1_2_2,
Tie255_1_2,
};
static const uint8_t TiedAsmOperandTable[][3] = {
/* Tie0_1_1 */ { 0, 1, 1 },
/* Tie0_1_2 */ { 0, 1, 2 },
/* Tie0_1_3 */ { 0, 1, 3 },
/* Tie0_1_5 */ { 0, 1, 5 },
/* Tie0_1_6 */ { 0, 1, 6 },
/* Tie0_2_2 */ { 0, 2, 2 },
/* Tie0_3_3 */ { 0, 3, 3 },
/* Tie0_4_4 */ { 0, 4, 4 },
/* Tie0_5_5 */ { 0, 5, 5 },
/* Tie1_1_1 */ { 1, 1, 1 },
/* Tie1_2_2 */ { 1, 2, 2 },
/* Tie255_1_2 */ { 255, 1, 2 },
};
namespace {
enum OperatorConversionKind {
CVT_Done,
CVT_Reg,
CVT_Tied,
CVT_95_Reg,
CVT_95_addVectorReg128Operands,
CVT_95_addVectorReg64Operands,
CVT_95_addRegOperands,
CVT_imm_95_16,
CVT_imm_95_24,
CVT_imm_95_0,
CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_,
CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_,
CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_,
CVT_95_addShifterOperands,
CVT_95_addExtendOperands,
CVT_95_addExtend64Operands,
CVT_95_addImmScaledOperands_LT_16_GT_,
CVT_95_addImmOperands,
CVT_95_addAdrLabelOperands,
CVT_95_addAdrpLabelOperands,
CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_,
CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_,
CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_,
CVT_95_addLogicalImmOperands_LT_int8_95_t_GT_,
CVT_imm_95_31,
CVT_imm_95_63,
CVT_95_addBranchTarget26Operands,
CVT_95_addCondCodeOperands,
CVT_95_addPCRelLabel19Operands,
CVT_95_addLogicalImmNotOperands_LT_int32_95_t_GT_,
CVT_95_addLogicalImmNotOperands_LT_int64_95_t_GT_,
CVT_95_addLogicalImmNotOperands_LT_int16_95_t_GT_,
CVT_95_addLogicalImmNotOperands_LT_int8_95_t_GT_,
CVT_imm_95_32,
CVT_95_addBTIHintOperands,
CVT_95_addComplexRotationOddOperands,
CVT_95_addComplexRotationEvenOperands,
CVT_95_addVectorIndexOperands,
CVT_imm_95_15,
CVT_regWZR,
CVT_regXZR,
CVT_imm_95_1,
CVT_imm_95_20,
CVT_95_addBarrierOperands,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_,
CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_,
CVT_95_addFPImmOperands,
CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_,
CVT_95_addVectorRegLoOperands,
CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_,
CVT_95_addImmScaledOperands_LT_1_GT_,
CVT_95_addImmScaledOperands_LT_8_GT_,
CVT_95_addImmScaledOperands_LT_2_GT_,
CVT_95_addImmScaledOperands_LT_4_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_,
CVT_95_addImmScaledOperands_LT_3_GT_,
CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_,
CVT_95_addUImm12OffsetOperands_LT_4_GT_,
CVT_95_addUImm12OffsetOperands_LT_8_GT_,
CVT_95_addUImm12OffsetOperands_LT_1_GT_,
CVT_95_addUImm12OffsetOperands_LT_2_GT_,
CVT_95_addUImm12OffsetOperands_LT_16_GT_,
CVT_95_addMemExtendOperands,
CVT_95_addMemExtend8Operands,
CVT_95_addMOVZMovAliasOperands_LT_0_GT_,
CVT_95_addMOVZMovAliasOperands_LT_16_GT_,
CVT_95_addMOVNMovAliasOperands_LT_0_GT_,
CVT_95_addMOVNMovAliasOperands_LT_16_GT_,
CVT_95_addMOVZMovAliasOperands_LT_32_GT_,
CVT_95_addMOVZMovAliasOperands_LT_48_GT_,
CVT_imm_95_48,
CVT_95_addMOVNMovAliasOperands_LT_32_GT_,
CVT_95_addMOVNMovAliasOperands_LT_48_GT_,
CVT_95_addFPRasZPRRegOperands_LT_128_GT_,
CVT_95_addFPRasZPRRegOperands_LT_16_GT_,
CVT_95_addFPRasZPRRegOperands_LT_32_GT_,
CVT_95_addFPRasZPRRegOperands_LT_64_GT_,
CVT_95_addFPRasZPRRegOperands_LT_8_GT_,
CVT_95_addSIMDImmType10Operands,
CVT_95_addMRSSystemRegisterOperands,
CVT_95_addMSRSystemRegisterOperands,
CVT_95_addSystemPStateFieldWithImm0_95_15Operands,
CVT_95_addSystemPStateFieldWithImm0_95_1Operands,
CVT_95_addPrefetchOperands,
CVT_95_addPSBHintOperands,
CVT_imm_95_4,
CVT_regLR,
CVT_95_addUImm6Operands,
CVT_imm_95_5,
CVT_95_addGPR64as32Operands,
CVT_imm_95_7,
CVT_95_addSysCROperands,
CVT_95_addBranchTarget14Operands,
CVT_95_addGPR32as64Operands,
CVT_imm_95_2,
CVT_imm_95_3,
CVT_NUM_CONVERTERS
};
enum InstructionConversionKind {
Convert__Reg1_0__Reg1_1,
Convert__VectorReg1281_1__VectorReg1281_2,
Convert__VectorReg641_1__VectorReg641_2,
Convert__VectorReg1281_0__VectorReg1281_2,
Convert__VectorReg641_0__VectorReg641_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4,
Convert__Reg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24,
Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0,
Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2,
Convert__Reg1_0__Reg1_1__AddSubImm2_2,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3,
Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3,
Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3,
Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3,
Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3,
Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3,
Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4,
Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5,
Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3,
Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3,
Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4,
Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__FPRAsmOperandFPR641_1__VectorReg1281_2,
Convert__FPRAsmOperandFPR641_0__VectorReg1281_1,
Convert__Reg1_0__Reg1_1__SImm61_2,
Convert__Reg1_1__VectorReg1281_2,
Convert__Reg1_1__VectorReg641_2,
Convert__Reg1_0__VectorReg1281_1,
Convert__Reg1_0__VectorReg641_1,
Convert__Reg1_0__AdrLabel1_1,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32161_3,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32321_3,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32641_3,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL3281_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64161_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64321_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64641_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL6481_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64161_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64321_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64641_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW6481_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64161_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64321_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64641_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW6481_3,
Convert__Reg1_0__AdrpLabel1_1,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2,
Convert__SVEVectorBReg1_0__Tie0_1_2,
Convert__Reg1_0__Reg1_1__LogicalImm321_2,
Convert__Reg1_0__Reg1_1__LogicalImm641_2,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2,
Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2,
Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3,
Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2,
Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31,
Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5,
Convert__Reg1_0,
Convert_NoOperands,
Convert__BranchTarget261_0,
Convert__CondCode1_1__PCRelLabel191_2,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3,
Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorReg1281_4,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorReg1281_6,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3,
Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0,
Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0,
Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2,
Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2,
Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0,
Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2,
Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2,
Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4,
Convert__Imm0_655351_0,
Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6,
Convert__imm_95_32,
Convert__BTIHint1_0,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3,
Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3,
Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3,
Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3,
Convert__Reg1_0__PCRelLabel191_1,
Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3,
Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3__ComplexRotationEven1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3__ComplexRotationEven1_4,
Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3,
Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3,
Convert__imm_95_15,
Convert__Imm0_151_0,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4,
Convert__Reg1_0__Reg1_2__Reg1_1,
Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2,
Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2,
Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2,
Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2,
Convert__regWZR__Reg1_0__Reg1_1__imm_95_16,
Convert__regXZR__Reg1_0__Reg1_1__imm_95_24,
Convert__regWZR__Reg1_0__Reg1_1__imm_95_0,
Convert__regWZR__Reg1_0__AddSubImmNeg2_1,
Convert__regWZR__Reg1_0__AddSubImm2_1,
Convert__regXZR__Reg1_0__Reg1_1__imm_95_0,
Convert__regXZR__Reg1_0__AddSubImmNeg2_1,
Convert__regXZR__Reg1_0__AddSubImm2_1,
Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2,
Convert__regWZR__Reg1_0__Reg1_1__Extend1_2,
Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2,
Convert__regXZR__Reg1_0__Reg1_1__Extend1_2,
Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5,
Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5,
Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5,
Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5,
Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5,
Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5,
Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5,
Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4,
Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4,
Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4,
Convert__regXZR__Reg1_0__Reg1_1,
Convert__Reg1_0__imm_95_31__imm_95_1,
Convert__Reg1_0__SVEPattern1_1__imm_95_1,
Convert__Reg1_0__SVEPattern1_1__Imm1_161_3,
Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateHReg1_2,
Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateSReg1_2,
Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateDReg1_2,
Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4,
Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4,
Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4,
Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4,
Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4,
Convert__imm_95_20,
Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3,
Convert__Reg1_0__regWZR__regWZR__CondCode1_1,
Convert__Reg1_0__regXZR__regXZR__CondCode1_1,
Convert__imm_95_0,
Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1,
Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1,
Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3,
Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3,
Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3,
Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1,
Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1,
Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1,
Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3,
Convert__Barrier1_0,
Convert__SVEVectorHReg1_0__Reg1_1,
Convert__SVEVectorHReg1_0__SVECpyImm162_1,
Convert__SVEVectorSReg1_0__Reg1_1,
Convert__SVEVectorSReg1_0__SVECpyImm322_1,
Convert__SVEVectorDReg1_0__Reg1_1,
Convert__SVEVectorDReg1_0__SVECpyImm642_1,
Convert__SVEVectorBReg1_0__Reg1_1,
Convert__SVEVectorBReg1_0__SVECpyImm82_1,
Convert__VectorReg1281_1__Reg1_2,
Convert__VectorReg641_1__Reg1_2,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_2,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_2,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_2,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_2,
Convert__VectorReg1281_0__Reg1_2,
Convert__VectorReg641_0__Reg1_2,
Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2,
Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_151_3,
Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_11_3,
Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3,
Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3,
Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3,
Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_151_3,
Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3,
Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3,
Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_151_4,
Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_11_4,
Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_31_4,
Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_71_4,
Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_31_4,
Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_71_4,
Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_151_4,
Convert__SVEVectorHReg1_0__SVELogicalImm161_1,
Convert__SVEVectorSReg1_0__SVELogicalImm321_1,
Convert__SVEVectorDReg1_0__LogicalImm641_1,
Convert__SVEVectorBReg1_0__SVELogicalImm81_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2,
Convert__imm_95_16,
Convert__SVEVectorBReg1_0__SVEVectorList281_1__Imm0_2551_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm0_2551_3,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4,
Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6,
Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6,
Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3,
Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5,
Convert__FPRAsmOperandFPR161_1__VectorReg641_2,
Convert__FPRAsmOperandFPR321_1__VectorReg641_2,
Convert__FPRAsmOperandFPR161_0__VectorReg641_1,
Convert__FPRAsmOperandFPR321_0__VectorReg641_1,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__ComplexRotationOdd1_4,
Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__ComplexRotationOdd1_4,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__ComplexRotationOdd1_6,
Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__ComplexRotationOdd1_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5__ComplexRotationOdd1_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5__ComplexRotationOdd1_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5__ComplexRotationOdd1_6,
Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4,
Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4,
Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__ComplexRotationEven1_4,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3__ComplexRotationEven1_4,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4__ComplexRotationEven1_5,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__ComplexRotationEven1_6,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4__ComplexRotationEven1_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5__ComplexRotationEven1_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5__ComplexRotationEven1_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5__ComplexRotationEven1_6,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6__ComplexRotationEven1_7,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4,
Convert__VectorReg1281_0__VectorReg641_2,
Convert__VectorReg641_0__VectorReg1281_2,
Convert__Reg1_0__Reg1_1__Imm1_161_2,
Convert__Reg1_0__Reg1_1__Imm1_321_2,
Convert__Reg1_0__Reg1_1__Imm1_641_2,
Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3,
Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3,
Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3,
Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3,
Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3,
Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4,
Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4,
Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4,
Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4,
Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4,
Convert__SVEVectorHReg1_0__FPImm1_1,
Convert__SVEVectorSReg1_0__FPImm1_1,
Convert__SVEVectorDReg1_0__FPImm1_1,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5,
Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4,
Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4,
Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4,
Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4,
Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3,
Convert__Reg1_0__FPImm1_1,
Convert__VectorReg1281_1__FPImm1_2,
Convert__VectorReg641_1__FPImm1_2,
Convert__Reg1_0__regWZR,
Convert__Reg1_0__regXZR,
Convert__VectorReg1281_0__FPImm1_2,
Convert__VectorReg641_0__FPImm1_2,
Convert__SVEVectorHReg1_0__imm_95_0__imm_95_0,
Convert__SVEVectorSReg1_0__imm_95_0__imm_95_0,
Convert__SVEVectorDReg1_0__imm_95_0__imm_95_0,
Convert__Reg1_1__VectorReg1281_2__IndexRange1_11_3,
Convert__VectorReg1281_1__Reg1_3__IndexRange1_11_2,
Convert__Reg1_0__VectorReg1281_1__IndexRange1_11_3,
Convert__VectorReg1281_0__Reg1_3__IndexRange1_11_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4,
Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4,
Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4,
Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4,
Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4,
Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm0_71_3,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm0_71_3,
Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm0_71_3,
Convert__Imm0_1271_0,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5,
Convert__SVEVectorHReg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorHReg1_0__Reg1_1__SImm51_2,
Convert__SVEVectorHReg1_0__SImm51_1__Reg1_2,
Convert__SVEVectorHReg1_0__SImm51_1__SImm51_2,
Convert__SVEVectorSReg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorSReg1_0__Reg1_1__SImm51_2,
Convert__SVEVectorSReg1_0__SImm51_1__Reg1_2,
Convert__SVEVectorSReg1_0__SImm51_1__SImm51_2,
Convert__SVEVectorDReg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorDReg1_0__Reg1_1__SImm51_2,
Convert__SVEVectorDReg1_0__SImm51_1__Reg1_2,
Convert__SVEVectorDReg1_0__SImm51_1__SImm51_2,
Convert__SVEVectorBReg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorBReg1_0__Reg1_1__SImm51_2,
Convert__SVEVectorBReg1_0__SImm51_1__Reg1_2,
Convert__SVEVectorBReg1_0__SImm51_1__SImm51_2,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_3,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_3,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_3,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__Reg1_3,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__Reg1_3,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__Reg1_3,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_4,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_4,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_4,
Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_5,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_5,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_5,
Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5,
Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1,
Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1,
Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1,
Convert__Reg1_0__Reg1_1__regXZR,
Convert__TypedVectorList4_1681_0__Reg1_2,
Convert__TypedVectorList4_1641_0__Reg1_2,
Convert__TypedVectorList4_2641_0__Reg1_2,
Convert__TypedVectorList4_2321_0__Reg1_2,
Convert__TypedVectorList4_4161_0__Reg1_2,
Convert__TypedVectorList4_4321_0__Reg1_2,
Convert__TypedVectorList4_881_0__Reg1_2,
Convert__TypedVectorList4_8161_0__Reg1_2,
Convert__TypedVectorList1_1681_0__Reg1_2,
Convert__TypedVectorList1_1641_0__Reg1_2,
Convert__TypedVectorList1_2641_0__Reg1_2,
Convert__TypedVectorList1_2321_0__Reg1_2,
Convert__TypedVectorList1_4161_0__Reg1_2,
Convert__TypedVectorList1_4321_0__Reg1_2,
Convert__TypedVectorList1_881_0__Reg1_2,
Convert__TypedVectorList1_8161_0__Reg1_2,
Convert__TypedVectorList3_1681_0__Reg1_2,
Convert__TypedVectorList3_1641_0__Reg1_2,
Convert__TypedVectorList3_2641_0__Reg1_2,
Convert__TypedVectorList3_2321_0__Reg1_2,
Convert__TypedVectorList3_4161_0__Reg1_2,
Convert__TypedVectorList3_4321_0__Reg1_2,
Convert__TypedVectorList3_881_0__Reg1_2,
Convert__TypedVectorList3_8161_0__Reg1_2,
Convert__TypedVectorList2_1681_0__Reg1_2,
Convert__TypedVectorList2_1641_0__Reg1_2,
Convert__TypedVectorList2_2641_0__Reg1_2,
Convert__TypedVectorList2_2321_0__Reg1_2,
Convert__TypedVectorList2_4161_0__Reg1_2,
Convert__TypedVectorList2_4321_0__Reg1_2,
Convert__TypedVectorList2_881_0__Reg1_2,
Convert__TypedVectorList2_8161_0__Reg1_2,
Convert__VecListFour1281_1__Reg1_3,
Convert__VecListOne1281_1__Reg1_3,
Convert__VecListThree1281_1__Reg1_3,
Convert__VecListTwo1281_1__Reg1_3,
Convert__VecListFour641_1__Reg1_3,
Convert__VecListOne641_1__Reg1_3,
Convert__VecListThree641_1__Reg1_3,
Convert__VecListTwo641_1__Reg1_3,
Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4,
Convert__TypedVectorList1_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList1_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList1_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList1_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3,
Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4,
Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR,
Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4,
Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR,
Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5,
Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4,
Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4,
Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4,
Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6,
Convert__TypedVectorList2_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList2_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList2_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList2_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3,
Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4,
Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4,
Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4,
Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6,
Convert__TypedVectorList3_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList3_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList3_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList3_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3,
Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4,
Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4,
Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4,
Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6,
Convert__TypedVectorList4_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList4_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList4_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList4_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3,
Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4,
Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4,
Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4,
Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6,
Convert__Reg1_1__Reg1_0__Reg1_3,
Convert__Reg1_0__GPR64sp01_2,
Convert__Reg1_0__Reg1_2__imm_95_0,
Convert__Reg1_0__Reg1_2__SImm91_3,
Convert__Reg1_0__Reg1_1__GPR64sp01_3,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6,
Convert__Reg1_0__Tie0_1_1__Reg1_2__imm_95_0,
Convert__Reg1_0__Tie0_1_1__Reg1_2__SImm9s161_3,
Convert__Reg1_0__Reg1_2,
Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0,
Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0,
Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0,
Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0,
Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4,
Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4,
Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4,
Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4,
Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5,
Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5,
Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5,
Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4,
Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4,
Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4,
Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4,
Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1,
Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1,
Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1,
Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0,
Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0,
Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0,
Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0,
Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0,
Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0,
Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4,
Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3,
Convert__Reg1_0__Reg1_2__UImm12Offset41_3,
Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3,
Convert__Reg1_0__Reg1_2__UImm12Offset81_3,
Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4,
Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3,
Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3,
Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4,
Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3,
Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3,
Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4,
Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3,
Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3,
Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4,
Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3,
Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3,
Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3,
Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4,
Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4,
Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3,
Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4,
Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4,
Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4,
Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4,
Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3,
Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4,
Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4,
Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3,
Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4,
Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4,
Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3,
Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4,
Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4,
Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4,
Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3,
Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3,
Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3,
Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0,
Convert__Reg1_0__Reg1_2__SImm10s81_3,
Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3,
Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3,
Convert__Reg1_0__Reg1_2__UImm12Offset11_3,
Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4,
Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4,
Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3,
Convert__Reg1_0__Reg1_2__UImm12Offset21_3,
Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4,
Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4,
Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3,
Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3,
Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3,
Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3,
Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm0_151_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm0_311_2,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm0_631_2,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm0_71_2,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5,
Convert__Reg1_0__Reg1_1__Reg1_2__regWZR,
Convert__Reg1_0__Reg1_1__Reg1_2__regXZR,
Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0,
Convert__Reg1_0__regWZR__Reg1_1__imm_95_0,
Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0,
Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16,
Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0,
Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16,
Convert__Reg1_0__regWZR__LogicalImm321_1,
Convert__Reg1_0__regXZR__Reg1_1__imm_95_0,
Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0,
Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16,
Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32,
Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48,
Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0,
Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16,
Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32,
Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48,
Convert__Reg1_0__regXZR__LogicalImm641_1,
Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1,
Convert__SVEVectorQReg1_0__FPR128asZPR1_1__imm_95_0,
Convert__SVEVectorHReg1_0__FPR16asZPR1_1__imm_95_0,
Convert__SVEVectorHReg1_0__SVEPreferredLogicalImm161_1,
Convert__SVEVectorSReg1_0__FPR32asZPR1_1__imm_95_0,
Convert__SVEVectorSReg1_0__SVEPreferredLogicalImm321_1,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_1,
Convert__SVEVectorDReg1_0__FPR64asZPR1_1__imm_95_0,
Convert__SVEVectorDReg1_0__SVEPreferredLogicalImm641_1,
Convert__SVEVectorBReg1_0__FPR8asZPR1_1__imm_95_0,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2,
Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2,
Convert__Reg1_1__VectorReg1281_2__IndexRange0_11_3,
Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2,
Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_0,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4,
Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_0,
Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_0,
Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_0,
Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_0,
Convert__Reg1_0__SIMDImmType101_1,
Convert__VectorReg1281_1__Imm0_2551_2,
Convert__VectorReg1281_1__SIMDImmType101_2,
Convert__VectorReg641_1__Imm0_2551_2__imm_95_0,
Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0,
Convert__VectorReg641_1__Imm0_2551_2,
Convert__VectorReg1281_0__Imm0_2551_2,
Convert__VectorReg1281_0__SIMDImmType101_2,
Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0,
Convert__VectorReg641_0__Imm0_2551_2__imm_95_0,
Convert__VectorReg641_0__Imm0_2551_2,
Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3,
Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3,
Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3,
Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3,
Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3,
Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0,
Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16,
Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0,
Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32,
Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48,
Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2,
Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2,
Convert__Reg1_0__MovWSymbolG01_1__imm_95_0,
Convert__Reg1_0__MovWSymbolG11_1__imm_95_16,
Convert__Reg1_0__Imm0_655351_1__imm_95_0,
Convert__Reg1_0__MovWSymbolG21_1__imm_95_32,
Convert__Reg1_0__MovWSymbolG31_1__imm_95_48,
Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2,
Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2,
Convert__SVEVectorAnyReg1_0__SVEVectorAnyReg1_1,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4,
Convert__Reg1_0__MRSSystemRegister1_1,
Convert__MSRSystemRegister1_0__Reg1_1,
Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1,
Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1,
Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2,
Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2,
Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2,
Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2,
Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2,
Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2,
Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2,
Convert__Reg1_0__regWZR__Reg1_1,
Convert__Reg1_0__regXZR__Reg1_1,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1,
Convert__SVEPredicateBReg1_0,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3,
Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3,
Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4,
Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2,
Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2,
Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEPredicateHReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3,
Convert__SVEPredicateSReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3,
Convert__SVEPredicateDReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s81_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4,
Convert__Prefetch1_0__PCRelLabel191_1,
Convert__Prefetch1_0__Reg1_2__imm_95_0,
Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3,
Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4,
Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4,
Convert__Prefetch1_0__Reg1_2__SImm91_3,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4,
Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4,
Convert__PSBHint1_0,
Convert__imm_95_4,
Convert__SVEPredicateAnyReg1_0__SVEPredicateBReg1_1,
Convert__SVEPredicateHReg1_0__imm_95_31,
Convert__SVEPredicateSReg1_0__imm_95_31,
Convert__SVEPredicateDReg1_0__imm_95_31,
Convert__SVEPredicateBReg1_0__imm_95_31,
Convert__SVEPredicateHReg1_0__SVEPattern1_1,
Convert__SVEPredicateSReg1_0__SVEPattern1_1,
Convert__SVEPredicateDReg1_0__SVEPattern1_1,
Convert__SVEPredicateBReg1_0__SVEPattern1_1,
Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1,
Convert__Reg1_0__SImm61_1,
Convert__regLR,
Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1,
Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1,
Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1,
Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1,
Convert__SVEVectorBReg1_0__SVEVectorBReg1_1,
Convert__imm_95_0__imm_95_0__imm_95_0,
Convert__Reg1_0__UImm61_1__Imm0_151_2,
Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2,
Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2,
Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3,
Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3,
Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3,
Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4,
Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4,
Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4,
Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2,
Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2,
Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4,
Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2,
Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2,
Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3,
Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3,
Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2__SVEPredicateBReg1_3,
Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_2__SVEVectorHReg1_3,
Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_2__SVEVectorSReg1_3,
Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_2__SVEVectorDReg1_3,
Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_2__SVEVectorBReg1_3,
Convert__imm_95_5,
Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2,
Convert__VectorReg1281_1__VectorReg1281_2__Tie0_1_1,
Convert__VectorReg1281_0__VectorReg1281_2__Tie0_1_1,
Convert__Reg1_0__Reg1_1__Imm0_631_2,
Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3,
Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3,
Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3,
Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3,
Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3,
Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3,
Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3,
Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4,
Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4,
Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4,
Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4,
Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4,
Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4,
Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4,
Convert__VectorReg1281_1__VectorReg641_2,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm0_151_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm0_311_2,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm0_631_2,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm0_71_2,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_71_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_631_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_311_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_151_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_311_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_71_3,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_151_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_71_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_631_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_311_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_151_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_311_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_151_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_71_4,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3,
Convert__Reg1_1__VectorReg1281_2__IndexRange0_151_3,
Convert__Reg1_1__VectorReg1281_2__IndexRange0_71_3,
Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4,
Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6,
Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6,
Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3,
Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2161_2,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2321_2,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2641_2,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList281_2,
Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1,
Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1,
Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4,
Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3,
Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3,
Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3,
Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2,
Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4,
Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4,
Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4,
Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4,
Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4,
Convert__Reg1_0__Reg1_1__Imm1_81_2,
Convert__Reg1_0__Reg1_1__Imm0_151_2,
Convert__Reg1_0__Reg1_1__Imm0_311_2,
Convert__Reg1_0__Reg1_1__Imm0_71_2,
Convert__VectorReg641_1__VectorReg1281_2,
Convert__SVEVectorHReg1_0__SVEVectorSReg1_1,
Convert__SVEVectorSReg1_0__SVEVectorDReg1_1,
Convert__SVEVectorBReg1_0__SVEVectorHReg1_1,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1,
Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2,
Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2,
Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2,
Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2,
Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2,
Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3,
Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3,
Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4,
Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4,
Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3,
Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3,
Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4,
Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4,
Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3,
Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3,
Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3,
Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4,
Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4,
Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4,
Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2,
Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2,
Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2,
Convert__TypedVectorList1_081_0__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList1_0641_0__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList1_0161_0__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList1_0321_0__IndexRange0_31_1__Reg1_3,
Convert__VecListOne1281_1__IndexRange0_151_2__Reg1_4,
Convert__VecListOne1281_1__IndexRange0_11_2__Reg1_4,
Convert__VecListOne1281_1__IndexRange0_71_2__Reg1_4,
Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4,
Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4,
Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4,
Convert__TypedVectorList2_081_0__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList2_0641_0__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList2_0161_0__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList2_0321_0__IndexRange0_31_1__Reg1_3,
Convert__VecListTwo1281_1__IndexRange0_151_2__Reg1_4,
Convert__VecListTwo1281_1__IndexRange0_11_2__Reg1_4,
Convert__VecListTwo1281_1__IndexRange0_71_2__Reg1_4,
Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4,
Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4,
Convert__Reg1_0__Reg1_2__SImm9s161_3,
Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4,
Convert__TypedVectorList3_081_0__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList3_0641_0__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList3_0161_0__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList3_0321_0__IndexRange0_31_1__Reg1_3,
Convert__VecListThree1281_1__IndexRange0_151_2__Reg1_4,
Convert__VecListThree1281_1__IndexRange0_11_2__Reg1_4,
Convert__VecListThree1281_1__IndexRange0_71_2__Reg1_4,
Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4,
Convert__TypedVectorList4_081_0__IndexRange0_151_1__Reg1_3,
Convert__TypedVectorList4_0641_0__IndexRange0_11_1__Reg1_3,
Convert__TypedVectorList4_0161_0__IndexRange0_71_1__Reg1_3,
Convert__TypedVectorList4_0321_0__IndexRange0_31_1__Reg1_3,
Convert__VecListFour1281_1__IndexRange0_151_2__Reg1_4,
Convert__VecListFour1281_1__IndexRange0_11_2__Reg1_4,
Convert__VecListFour1281_1__IndexRange0_71_2__Reg1_4,
Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4,
Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5,
Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR,
Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR,
Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4,
Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4,
Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4,
Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4,
Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4,
Convert__regWZR__Reg1_0__Reg1_2,
Convert__regXZR__Reg1_0__Reg1_2,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_5,
Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4,
Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_4,
Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR,
Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4,
Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4,
Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4,
Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4,
Convert__SVEVectorHReg1_0__SVEVectorBReg1_1,
Convert__SVEVectorSReg1_0__SVEVectorHReg1_1,
Convert__SVEVectorDReg1_0__SVEVectorSReg1_1,
Convert__Reg1_0__Tie0_1_1__Reg1_1,
Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7,
Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15,
Convert__VectorReg1281_1__VectorReg641_2__imm_95_0,
Convert__VectorReg1281_0__VectorReg641_2__imm_95_0,
Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0,
Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0,
Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31,
Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR,
Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4,
Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4,
Convert__SVEVectorHReg1_0__SVEVectorList1161_1__SVEVectorHReg1_2,
Convert__SVEVectorHReg1_0__SVEVectorList2161_1__SVEVectorHReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorList1321_1__SVEVectorSReg1_2,
Convert__SVEVectorSReg1_0__SVEVectorList2321_1__SVEVectorSReg1_2,
Convert__SVEVectorDReg1_0__SVEVectorList1641_1__SVEVectorDReg1_2,
Convert__SVEVectorDReg1_0__SVEVectorList2641_1__SVEVectorDReg1_2,
Convert__SVEVectorBReg1_0__SVEVectorList181_1__SVEVectorBReg1_2,
Convert__SVEVectorBReg1_0__SVEVectorList281_1__SVEVectorBReg1_2,
Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3,
Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3,
Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3,
Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3,
Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3,
Convert__VectorReg1281_0__TypedVectorList4_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__TypedVectorList1_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__TypedVectorList3_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__TypedVectorList2_1681_2__VectorReg1281_3,
Convert__VectorReg641_0__TypedVectorList4_1681_2__VectorReg641_3,
Convert__VectorReg641_0__TypedVectorList1_1681_2__VectorReg641_3,
Convert__VectorReg641_0__TypedVectorList3_1681_2__VectorReg641_3,
Convert__VectorReg641_0__TypedVectorList2_1681_2__VectorReg641_3,
Convert__Reg1_0__Imm0_311_1__BranchTarget141_2,
Convert__Reg1_0__Imm32_631_1__BranchTarget141_2,
Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2,
Convert__VectorReg1281_1__Tie0_2_2__VecListFour1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__Tie0_2_2__VecListOne1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__Tie0_2_2__VecListThree1281_2__VectorReg1281_3,
Convert__VectorReg1281_1__Tie0_2_2__VecListTwo1281_2__VectorReg1281_3,
Convert__VectorReg641_1__Tie0_2_2__VecListFour1281_2__VectorReg641_3,
Convert__VectorReg641_1__Tie0_2_2__VecListOne1281_2__VectorReg641_3,
Convert__VectorReg641_1__Tie0_2_2__VecListThree1281_2__VectorReg641_3,
Convert__VectorReg641_1__Tie0_2_2__VecListTwo1281_2__VectorReg641_3,
Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg1281_3,
Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg1281_3,
Convert__VectorReg641_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg641_3,
Convert__VectorReg641_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg641_3,
Convert__VectorReg641_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg641_3,
Convert__VectorReg641_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg641_3,
Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2,
Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2,
Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2,
Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2,
Convert__regWZR__Reg1_0__LogicalImm321_1,
Convert__regXZR__Reg1_0__LogicalImm641_1,
Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2,
Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2,
Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2,
Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2,
Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2,
Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2,
Convert__imm_95_2,
Convert__imm_95_3,
Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2,
Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2,
Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2,
Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2,
Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm1_161_3,
Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm1_321_3,
Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm1_641_3,
Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm1_81_3,
Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__UImm61_4,
Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__UImm61_6,
Convert__imm_95_1,
CVT_NUM_SIGNATURES
};
} // end anonymous namespace
static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
// Convert__Reg1_0__Reg1_1
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_24, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__AddSubImm2_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtendOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtend64Operands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtend64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmScaledOperands_LT_16_GT_, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__FPRAsmOperandFPR641_1__VectorReg1281_2
{ CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__VectorReg1281_1
{ CVT_95_addRegOperands, 1, CVT_95_addVectorReg128Operands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__SImm61_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__Reg1_1__VectorReg641_2
{ CVT_95_Reg, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_Done },
// Convert__Reg1_0__VectorReg641_1
{ CVT_95_Reg, 1, CVT_95_addVectorReg64Operands, 2, CVT_Done },
// Convert__Reg1_0__AdrLabel1_1
{ CVT_95_Reg, 1, CVT_95_addAdrLabelOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32161_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32321_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32641_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL3281_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64161_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64321_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64641_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL6481_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64161_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64321_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64641_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW6481_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64161_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64321_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64641_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW6481_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__Reg1_0__AdrpLabel1_1
{ CVT_95_Reg, 1, CVT_95_addAdrpLabelOperands, 2, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_Done },
// Convert__Reg1_0__Reg1_1__LogicalImm321_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__LogicalImm641_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmOperands_LT_int8_95_t_GT_, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_imm_95_31, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_imm_95_63, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__Reg1_0
{ CVT_95_Reg, 1, CVT_Done },
// Convert_NoOperands
{ CVT_Done },
// Convert__BranchTarget261_0
{ CVT_95_addBranchTarget26Operands, 1, CVT_Done },
// Convert__CondCode1_1__PCRelLabel191_2
{ CVT_95_addCondCodeOperands, 2, CVT_95_addPCRelLabel19Operands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorReg1281_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorReg128Operands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorReg1281_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorReg128Operands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImmNotOperands_LT_int32_95_t_GT_, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImmNotOperands_LT_int64_95_t_GT_, 3, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmNotOperands_LT_int16_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmNotOperands_LT_int32_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmNotOperands_LT_int64_95_t_GT_, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addLogicalImmNotOperands_LT_int8_95_t_GT_, 3, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
// Convert__Imm0_655351_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Tied, Tie0_1_6, CVT_Done },
// Convert__imm_95_32
{ CVT_imm_95_32, 0, CVT_Done },
// Convert__BTIHint1_0
{ CVT_95_addBTIHintOperands, 1, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationOddOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationOddOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationOddOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationOddOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_0__PCRelLabel191_1
{ CVT_95_Reg, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
// Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3__ComplexRotationEven1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3__ComplexRotationEven1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addCondCodeOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__imm_95_15
{ CVT_imm_95_15, 0, CVT_Done },
// Convert__Imm0_151_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addComplexRotationEvenOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_1
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 4, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 5, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_1__imm_95_16
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__imm_95_24
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_24, 0, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_1__imm_95_0
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__regWZR__Reg1_0__AddSubImmNeg2_1
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_, 2, CVT_Done },
// Convert__regWZR__Reg1_0__AddSubImm2_1
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_, 2, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__imm_95_0
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__regXZR__Reg1_0__AddSubImmNeg2_1
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_, 2, CVT_Done },
// Convert__regXZR__Reg1_0__AddSubImm2_1
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_, 2, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_1__Extend1_2
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtendOperands, 3, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__Extend1_2
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtendOperands, 3, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtend64Operands, 3, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__imm_95_31__imm_95_1
{ CVT_95_Reg, 1, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__SVEPattern1_1__imm_95_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__SVEPattern1_1__Imm1_161_3
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateHReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateSReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateDReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 5, CVT_Done },
// Convert__imm_95_20
{ CVT_imm_95_20, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
// Convert__Reg1_0__regWZR__regWZR__CondCode1_1
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_regWZR, 0, CVT_95_addCondCodeOperands, 2, CVT_Done },
// Convert__Reg1_0__regXZR__regXZR__CondCode1_1
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_regXZR, 0, CVT_95_addCondCodeOperands, 2, CVT_Done },
// Convert__imm_95_0
{ CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_1, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Barrier1_0
{ CVT_95_addBarrierOperands, 1, CVT_Done },
// Convert__SVEVectorHReg1_0__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__SVECpyImm162_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVECpyImm322_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__SVECpyImm642_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__SVECpyImm82_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_, 2, CVT_Done },
// Convert__VectorReg1281_1__Reg1_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__VectorReg641_1__Reg1_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_2
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_2
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_2
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_2
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__VectorReg1281_0__Reg1_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__VectorReg641_0__Reg1_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_151_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_11_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_151_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_151_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_11_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_71_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_151_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVELogicalImm161_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVELogicalImm321_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__LogicalImm641_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__SVELogicalImm81_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int8_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__imm_95_16
{ CVT_imm_95_16, 0, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorList281_1__Imm0_2551_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm0_2551_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__FPRAsmOperandFPR161_1__VectorReg641_2
{ CVT_95_addRegOperands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__FPRAsmOperandFPR321_1__VectorReg641_2
{ CVT_95_addRegOperands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__VectorReg641_1
{ CVT_95_addRegOperands, 1, CVT_95_addVectorReg64Operands, 2, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__VectorReg641_1
{ CVT_95_addRegOperands, 1, CVT_95_addVectorReg64Operands, 2, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__ComplexRotationOdd1_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addComplexRotationOddOperands, 5, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__ComplexRotationOdd1_4
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_95_addComplexRotationOddOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__ComplexRotationOdd1_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addComplexRotationOddOperands, 7, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__ComplexRotationOdd1_6
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_95_addComplexRotationOddOperands, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5__ComplexRotationOdd1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationOddOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5__ComplexRotationOdd1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationOddOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5__ComplexRotationOdd1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationOddOperands, 7, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__ComplexRotationEven1_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3__ComplexRotationEven1_4
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_95_addComplexRotationEvenOperands, 5, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_95_addComplexRotationEvenOperands, 6, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_95_addComplexRotationEvenOperands, 6, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4__ComplexRotationEven1_5
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_95_addComplexRotationEvenOperands, 6, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__ComplexRotationEven1_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addComplexRotationEvenOperands, 7, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4__ComplexRotationEven1_6
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_95_addComplexRotationEvenOperands, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5__ComplexRotationEven1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationEvenOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5__ComplexRotationEven1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationEvenOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5__ComplexRotationEven1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_95_addComplexRotationEvenOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_95_addComplexRotationEvenOperands, 8, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6__ComplexRotationEven1_7
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_95_addComplexRotationEvenOperands, 8, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_95_addComplexRotationEvenOperands, 8, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addFPImmOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addFPImmOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addFPImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm1_161_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm1_321_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm1_641_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__FPImm1_1
{ CVT_95_addRegOperands, 1, CVT_95_addFPImmOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__FPImm1_1
{ CVT_95_addRegOperands, 1, CVT_95_addFPImmOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__FPImm1_1
{ CVT_95_addRegOperands, 1, CVT_95_addFPImmOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_, 6, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4
{ CVT_95_addRegOperands, 2, CVT_Tied, Tie0_2_2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addRegOperands, 2, CVT_Tied, Tie0_2_2, CVT_95_addRegOperands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addRegOperands, 2, CVT_Tied, Tie0_2_2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0
{ CVT_imm_95_0, 0, CVT_Tied, Tie0_1_1, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_0__FPImm1_1
{ CVT_95_Reg, 1, CVT_95_addFPImmOperands, 2, CVT_Done },
// Convert__VectorReg1281_1__FPImm1_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addFPImmOperands, 3, CVT_Done },
// Convert__VectorReg641_1__FPImm1_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addFPImmOperands, 3, CVT_Done },
// Convert__Reg1_0__regWZR
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_Done },
// Convert__Reg1_0__regXZR
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_Done },
// Convert__VectorReg1281_0__FPImm1_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addFPImmOperands, 3, CVT_Done },
// Convert__VectorReg641_0__FPImm1_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addFPImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2__IndexRange1_11_3
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Reg1_3__IndexRange1_11_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_Reg, 4, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__Reg1_0__VectorReg1281_1__IndexRange1_11_3
{ CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Reg1_3__IndexRange1_11_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_Reg, 4, CVT_95_addVectorIndexOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4
{ CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_, 6, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm0_71_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm0_71_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm0_71_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Imm0_1271_0
{ CVT_95_addImmOperands, 1, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__SVEVectorHReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Reg1_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SImm51_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SImm51_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Reg1_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SImm51_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SImm51_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Reg1_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SImm51_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SImm51_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Reg1_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SImm51_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SImm51_1__SImm51_2
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_5
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 6, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_5
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 6, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_5
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 6, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 6, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__regXZR
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_regXZR, 0, CVT_Done },
// Convert__TypedVectorList4_1681_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_1641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_2641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_2321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_4161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_4321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_881_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList4_8161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_1681_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_1641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_2641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_2321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_4161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_4321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_881_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList1_8161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_1681_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_1641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_2641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_2321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_4161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_4321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_881_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList3_8161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_1681_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_1641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_2641_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_2321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_4161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_4321_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_881_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__TypedVectorList2_8161_0__Reg1_2
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__VecListFour1281_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListOne1281_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListThree1281_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListTwo1281_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListFour641_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListOne641_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListThree641_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListTwo641_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__TypedVectorList1_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4
{ CVT_95_Reg, 3, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_3_3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmOperands, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_8_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_8_GT_, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_1_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_8_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_8_GT_, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_16_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__TypedVectorList2_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_2_GT_, 7, CVT_Done },
// Convert__TypedVectorList3_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_3_GT_, 7, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_3_GT_, 7, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_3_GT_, 7, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_3_GT_, 7, CVT_Done },
// Convert__TypedVectorList4_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_Tied, Tie1_1_1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_Tied, Tie1_2_2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addImmScaledOperands_LT_4_GT_, 7, CVT_Done },
// Convert__Reg1_1__Reg1_0__Reg1_3
{ CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_95_Reg, 4, CVT_Done },
// Convert__Reg1_0__GPR64sp01_2
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_2__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm91_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__GPR64sp01_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 6, CVT_95_addRegOperands, 7, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_2__SImm9s161_3
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 3, CVT_95_addImmScaledOperands_LT_16_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_16_GT_, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 6, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_4_GT_, 6, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_8_GT_, 6, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_4_GT_, 6, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_8_GT_, 6, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_16_GT_, 6, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4
{ CVT_95_Reg, 4, CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_16_GT_, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1
{ CVT_95_addRegOperands, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1
{ CVT_95_addRegOperands, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1
{ CVT_95_addRegOperands, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
// Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__UImm12Offset41_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_4_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__UImm12Offset81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_8_GT_, 4, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_1_GT_, 4, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_2_GT_, 4, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_4_GT_, 4, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_8_GT_, 4, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_16_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3
{ CVT_95_Reg, 3, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm10s81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmScaledOperands_LT_8_GT_, 4, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmScaledOperands_LT_8_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__UImm12Offset11_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_1_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__UImm12Offset21_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_2_GT_, 4, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm0_151_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm0_311_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm0_631_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm0_71_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_5, CVT_95_addImmOperands, 6, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 6, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__regWZR
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_regWZR, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__regXZR
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__regWZR__Reg1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__regWZR__LogicalImm321_1
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 2, CVT_Done },
// Convert__Reg1_0__regXZR__Reg1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_32_GT_, 2, CVT_imm_95_32, 0, CVT_Done },
// Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48
{ CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_48_GT_, 2, CVT_imm_95_48, 0, CVT_Done },
// Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_32_GT_, 2, CVT_imm_95_32, 0, CVT_Done },
// Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48
{ CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_48_GT_, 2, CVT_imm_95_48, 0, CVT_Done },
// Convert__Reg1_0__regXZR__LogicalImm641_1
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorQReg1_0__FPR128asZPR1_1__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addFPRasZPRRegOperands_LT_128_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__FPR16asZPR1_1__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addFPRasZPRRegOperands_LT_16_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPreferredLogicalImm161_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__FPR32asZPR1_1__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addFPRasZPRRegOperands_LT_32_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPreferredLogicalImm321_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__FPR64asZPR1_1__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addFPRasZPRRegOperands_LT_64_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPreferredLogicalImm641_1
{ CVT_95_addRegOperands, 1, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__FPR8asZPR1_1__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addFPRasZPRRegOperands_LT_8_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2__IndexRange0_11_3
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 1, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 1, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 1, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 1, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 1, CVT_Done },
// Convert__Reg1_0__SIMDImmType101_1
{ CVT_95_Reg, 1, CVT_95_addSIMDImmType10Operands, 2, CVT_Done },
// Convert__VectorReg1281_1__Imm0_2551_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__SIMDImmType101_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addSIMDImmType10Operands, 3, CVT_Done },
// Convert__VectorReg641_1__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg641_1__Imm0_2551_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_0__Imm0_2551_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_0__SIMDImmType101_2
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addSIMDImmType10Operands, 3, CVT_Done },
// Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg641_0__Imm0_2551_2__imm_95_0
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg641_0__Imm0_2551_2
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addImmOperands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_32, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_imm_95_48, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__MovWSymbolG01_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MovWSymbolG11_1__imm_95_16
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_16, 0, CVT_Done },
// Convert__Reg1_0__Imm0_655351_1__imm_95_0
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__MovWSymbolG21_1__imm_95_32
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_32, 0, CVT_Done },
// Convert__Reg1_0__MovWSymbolG31_1__imm_95_48
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_48, 0, CVT_Done },
// Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__SVEVectorAnyReg1_0__SVEVectorAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__Reg1_0__MRSSystemRegister1_1
{ CVT_95_Reg, 1, CVT_95_addMRSSystemRegisterOperands, 2, CVT_Done },
// Convert__MSRSystemRegister1_0__Reg1_1
{ CVT_95_addMSRSystemRegisterOperands, 1, CVT_95_Reg, 2, CVT_Done },
// Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1
{ CVT_95_addSystemPStateFieldWithImm0_95_15Operands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1
{ CVT_95_addSystemPStateFieldWithImm0_95_1Operands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__Reg1_0__regWZR__Reg1_1
{ CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__regXZR__Reg1_1
{ CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 5, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0
{ CVT_95_addRegOperands, 1, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
// Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s81_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__Prefetch1_0__PCRelLabel191_1
{ CVT_95_addPrefetchOperands, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__imm_95_0
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_8_GT_, 4, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
// Convert__Prefetch1_0__Reg1_2__SImm91_3
{ CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4
{ CVT_95_addPrefetchOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__PSBHint1_0
{ CVT_95_addPSBHintOperands, 1, CVT_Done },
// Convert__imm_95_4
{ CVT_imm_95_4, 0, CVT_Done },
// Convert__SVEPredicateAnyReg1_0__SVEPredicateBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateHReg1_0__imm_95_31
{ CVT_95_addRegOperands, 1, CVT_imm_95_31, 0, CVT_Done },
// Convert__SVEPredicateSReg1_0__imm_95_31
{ CVT_95_addRegOperands, 1, CVT_imm_95_31, 0, CVT_Done },
// Convert__SVEPredicateDReg1_0__imm_95_31
{ CVT_95_addRegOperands, 1, CVT_imm_95_31, 0, CVT_Done },
// Convert__SVEPredicateBReg1_0__imm_95_31
{ CVT_95_addRegOperands, 1, CVT_imm_95_31, 0, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPattern1_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPattern1_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPattern1_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPattern1_1
{ CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__Reg1_0__SImm61_1
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_Done },
// Convert__regLR
{ CVT_regLR, 0, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__imm_95_0__imm_95_0__imm_95_0
{ CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__UImm61_1__Imm0_151_2
{ CVT_95_Reg, 1, CVT_95_addUImm6Operands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2__SVEPredicateBReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_2__SVEVectorHReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_2__SVEVectorSReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_2__SVEVectorDReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_2__SVEVectorBReg1_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
// Convert__imm_95_5
{ CVT_imm_95_5, 0, CVT_Done },
// Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3
{ CVT_95_Reg, 2, CVT_Tied, Tie0_2_2, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Tie0_1_1
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Tie0_1_1
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_Tied, Tie0_1_1, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_631_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm0_151_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm0_311_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm0_631_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm0_71_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_71_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_631_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_311_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_151_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_311_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_71_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_151_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_71_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_631_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_311_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_151_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_311_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_151_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_71_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2__IndexRange0_151_3
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__Reg1_1__VectorReg1281_2__IndexRange0_71_3
{ CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexOperands, 7, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorIndexOperands, 4, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2161_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2321_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2641_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList281_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 3, CVT_Done },
// Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie255_1_2, CVT_95_addGPR64as32Operands, 2, CVT_imm_95_31, 0, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1
{ CVT_95_Reg, 1, CVT_Tied, Tie255_1_2, CVT_95_addGPR64as32Operands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_1, 0, CVT_Done },
// Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3
{ CVT_95_Reg, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_3, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addRegOperands, 2, CVT_Tied, Tie0_2_2, CVT_95_addRegOperands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addRegOperands, 2, CVT_Tied, Tie0_2_2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4
{ CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4
{ CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexOperands, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm1_81_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_151_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_311_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__Reg1_0__Reg1_1__Imm0_71_2
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg641_1__VectorReg1281_2
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorSReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorDReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorHReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__TypedVectorList1_081_0__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0641_0__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0161_0__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList1_0321_0__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListOne1281_1__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_1_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_8_GT_, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__TypedVectorList2_081_0__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0641_0__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0161_0__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList2_0321_0__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListTwo1281_1__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmScaledOperands_LT_16_GT_, 5, CVT_Done },
// Convert__Reg1_0__Reg1_2__SImm9s161_3
{ CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmScaledOperands_LT_16_GT_, 4, CVT_Done },
// Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3
{ CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, Tie0_3_3, CVT_95_addImmScaledOperands_LT_16_GT_, 4, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_2_GT_, 5, CVT_Done },
// Convert__TypedVectorList3_081_0__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0641_0__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0161_0__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList3_0321_0__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListThree1281_1__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_3_GT_, 5, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_3_GT_, 5, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_3_GT_, 5, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_3_GT_, 5, CVT_Done },
// Convert__TypedVectorList4_081_0__IndexRange0_151_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0641_0__IndexRange0_11_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0161_0__IndexRange0_71_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__TypedVectorList4_0321_0__IndexRange0_31_1__Reg1_3
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_95_Reg, 4, CVT_Done },
// Convert__VecListFour1281_1__IndexRange0_151_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__IndexRange0_11_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__IndexRange0_71_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5
{ CVT_95_Reg, 4, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 1, CVT_95_addVectorIndexOperands, 2, CVT_Tied, Tie0_4_4, CVT_95_Reg, 6, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_regXZR, 0, CVT_Done },
// Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6
{ CVT_95_Reg, 5, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 2, CVT_95_addVectorIndexOperands, 3, CVT_Tied, Tie0_5_5, CVT_95_Reg, 7, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_4_GT_, 5, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_2
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_2
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_5
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_16_GT_, 6, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addImmScaledOperands_LT_16_GT_, 5, CVT_Done },
// Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_4
{ CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, Tie0_4_4, CVT_95_addImmScaledOperands_LT_16_GT_, 5, CVT_Done },
// Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addRegOperands, 5, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_regXZR, 0, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4
{ CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 4, CVT_95_Reg, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorBReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorHReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorSReg1_1
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
// Convert__Reg1_0__Tie0_1_1__Reg1_1
{ CVT_95_Reg, 1, CVT_Tied, Tie0_1_1, CVT_95_Reg, 2, CVT_Done },
// Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_7, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_15, 0, CVT_Done },
// Convert__VectorReg1281_1__VectorReg641_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_0__VectorReg641_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_imm_95_0, 0, CVT_Done },
// Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31
{ CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
// Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR
{ CVT_95_addImmOperands, 1, CVT_95_addSysCROperands, 2, CVT_95_addSysCROperands, 3, CVT_95_addImmOperands, 4, CVT_regXZR, 0, CVT_Done },
// Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4
{ CVT_95_addImmOperands, 1, CVT_95_addSysCROperands, 2, CVT_95_addSysCROperands, 3, CVT_95_addImmOperands, 4, CVT_95_Reg, 5, CVT_Done },
// Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addSysCROperands, 3, CVT_95_addSysCROperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorList1161_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__SVEVectorList2161_1__SVEVectorHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorList1321_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__SVEVectorList2321_1__SVEVectorSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorList1641_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__SVEVectorList2641_1__SVEVectorDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorList181_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__SVEVectorList281_1__SVEVectorBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__TypedVectorList4_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__TypedVectorList1_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__TypedVectorList3_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__TypedVectorList2_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_0__TypedVectorList4_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__TypedVectorList1_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__TypedVectorList3_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__TypedVectorList2_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__Reg1_0__Imm0_311_1__BranchTarget141_2
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
// Convert__Reg1_0__Imm32_631_1__BranchTarget141_2
{ CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
// Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2
{ CVT_95_addGPR32as64Operands, 1, CVT_95_addImmOperands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VecListFour1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VecListOne1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VecListThree1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_1__Tie0_2_2__VecListTwo1281_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VecListFour1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VecListOne1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VecListThree1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_1__Tie0_2_2__VecListTwo1281_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 2, CVT_Tied, Tie0_2_2, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg1281_3
{ CVT_95_addVectorReg128Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__VectorReg641_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg641_3
{ CVT_95_addVectorReg64Operands, 1, CVT_Tied, Tie0_1_1, CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
// Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2
{ CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
// Convert__regWZR__Reg1_0__LogicalImm321_1
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_, 2, CVT_Done },
// Convert__regXZR__Reg1_0__LogicalImm641_1
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_, 2, CVT_Done },
// Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2
{ CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2
{ CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addImmOperands, 3, CVT_Done },
// Convert__imm_95_2
{ CVT_imm_95_2, 0, CVT_Done },
// Convert__imm_95_3
{ CVT_imm_95_3, 0, CVT_Done },
// Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2
{ CVT_95_addRegOperands, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
// Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm1_161_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm1_321_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm1_641_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm1_81_3
{ CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
// Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__UImm61_4
{ CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addUImm6Operands, 5, CVT_Done },
// Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__UImm61_6
{ CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addUImm6Operands, 7, CVT_Done },
// Convert__imm_95_1
{ CVT_imm_95_1, 0, CVT_Done },
};
void AArch64AsmParser::
convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
const OperandVector &Operands) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
const uint8_t *Converter = ConversionTable[Kind];
unsigned OpIdx;
Inst.setOpcode(Opcode);
for (const uint8_t *p = Converter; *p; p+= 2) {
OpIdx = *(p + 1);
switch (*p) {
default: llvm_unreachable("invalid conversion entry!");
case CVT_Reg:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
break;
case CVT_Tied: {
assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
std::begin(TiedAsmOperandTable)) &&
"Tied operand not found");
unsigned TiedResOpnd = TiedAsmOperandTable[OpIdx][0];
if (TiedResOpnd != (uint8_t) -1)
Inst.addOperand(Inst.getOperand(TiedResOpnd));
break;
}
case CVT_95_Reg:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
break;
case CVT_95_addVectorReg128Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorReg128Operands(Inst, 1);
break;
case CVT_95_addVectorReg64Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorReg64Operands(Inst, 1);
break;
case CVT_95_addRegOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
break;
case CVT_imm_95_16:
Inst.addOperand(MCOperand::createImm(16));
break;
case CVT_imm_95_24:
Inst.addOperand(MCOperand::createImm(24));
break;
case CVT_imm_95_0:
Inst.addOperand(MCOperand::createImm(0));
break;
case CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmNegWithOptionalShiftOperands<12>(Inst, 2);
break;
case CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmWithOptionalShiftOperands<12>(Inst, 2);
break;
case CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmWithOptionalShiftOperands<8>(Inst, 2);
break;
case CVT_95_addShifterOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addShifterOperands(Inst, 1);
break;
case CVT_95_addExtendOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addExtendOperands(Inst, 1);
break;
case CVT_95_addExtend64Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addExtend64Operands(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_16_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<16>(Inst, 1);
break;
case CVT_95_addImmOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmOperands(Inst, 1);
break;
case CVT_95_addAdrLabelOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addAdrLabelOperands(Inst, 1);
break;
case CVT_95_addAdrpLabelOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addAdrpLabelOperands(Inst, 1);
break;
case CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmOperands<int32_t>(Inst, 1);
break;
case CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmOperands<int64_t>(Inst, 1);
break;
case CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmOperands<int16_t>(Inst, 1);
break;
case CVT_95_addLogicalImmOperands_LT_int8_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmOperands<int8_t>(Inst, 1);
break;
case CVT_imm_95_31:
Inst.addOperand(MCOperand::createImm(31));
break;
case CVT_imm_95_63:
Inst.addOperand(MCOperand::createImm(63));
break;
case CVT_95_addBranchTarget26Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addBranchTarget26Operands(Inst, 1);
break;
case CVT_95_addCondCodeOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addCondCodeOperands(Inst, 1);
break;
case CVT_95_addPCRelLabel19Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addPCRelLabel19Operands(Inst, 1);
break;
case CVT_95_addLogicalImmNotOperands_LT_int32_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmNotOperands<int32_t>(Inst, 1);
break;
case CVT_95_addLogicalImmNotOperands_LT_int64_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmNotOperands<int64_t>(Inst, 1);
break;
case CVT_95_addLogicalImmNotOperands_LT_int16_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmNotOperands<int16_t>(Inst, 1);
break;
case CVT_95_addLogicalImmNotOperands_LT_int8_95_t_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImmNotOperands<int8_t>(Inst, 1);
break;
case CVT_imm_95_32:
Inst.addOperand(MCOperand::createImm(32));
break;
case CVT_95_addBTIHintOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addBTIHintOperands(Inst, 1);
break;
case CVT_95_addComplexRotationOddOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addComplexRotationOddOperands(Inst, 1);
break;
case CVT_95_addComplexRotationEvenOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addComplexRotationEvenOperands(Inst, 1);
break;
case CVT_95_addVectorIndexOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndexOperands(Inst, 1);
break;
case CVT_imm_95_15:
Inst.addOperand(MCOperand::createImm(15));
break;
case CVT_regWZR:
Inst.addOperand(MCOperand::createReg(AArch64::WZR));
break;
case CVT_regXZR:
Inst.addOperand(MCOperand::createReg(AArch64::XZR));
break;
case CVT_imm_95_1:
Inst.addOperand(MCOperand::createImm(1));
break;
case CVT_imm_95_20:
Inst.addOperand(MCOperand::createImm(20));
break;
case CVT_95_addBarrierOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addBarrierOperands(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_ZReg, 2>(Inst, 1);
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addExactFPImmOperands<AArch64ExactFPImm::half, AArch64ExactFPImm::one>(Inst, 1);
break;
case CVT_95_addFPImmOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPImmOperands(Inst, 1);
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addExactFPImmOperands<AArch64ExactFPImm::zero, AArch64ExactFPImm::one>(Inst, 1);
break;
case CVT_95_addVectorRegLoOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorRegLoOperands(Inst, 1);
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addExactFPImmOperands<AArch64ExactFPImm::half, AArch64ExactFPImm::two>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_QReg, 4>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_DReg, 4>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_QReg, 1>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_DReg, 1>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_QReg, 3>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_DReg, 3>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_QReg, 2>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_DReg, 2>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_ZReg, 1>(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_1_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<1>(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_8_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<8>(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_2_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<2>(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_4_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<4>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_ZReg, 3>(Inst, 1);
break;
case CVT_95_addImmScaledOperands_LT_3_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmScaledOperands<3>(Inst, 1);
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorListOperands<AArch64Operand::VecListIdx_ZReg, 4>(Inst, 1);
break;
case CVT_95_addUImm12OffsetOperands_LT_4_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<4>(Inst, 1);
break;
case CVT_95_addUImm12OffsetOperands_LT_8_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<8>(Inst, 1);
break;
case CVT_95_addUImm12OffsetOperands_LT_1_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<1>(Inst, 1);
break;
case CVT_95_addUImm12OffsetOperands_LT_2_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<2>(Inst, 1);
break;
case CVT_95_addUImm12OffsetOperands_LT_16_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<16>(Inst, 1);
break;
case CVT_95_addMemExtendOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMemExtendOperands(Inst, 2);
break;
case CVT_95_addMemExtend8Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMemExtend8Operands(Inst, 2);
break;
case CVT_95_addMOVZMovAliasOperands_LT_0_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<0>(Inst, 1);
break;
case CVT_95_addMOVZMovAliasOperands_LT_16_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<16>(Inst, 1);
break;
case CVT_95_addMOVNMovAliasOperands_LT_0_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<0>(Inst, 1);
break;
case CVT_95_addMOVNMovAliasOperands_LT_16_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<16>(Inst, 1);
break;
case CVT_95_addMOVZMovAliasOperands_LT_32_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<32>(Inst, 1);
break;
case CVT_95_addMOVZMovAliasOperands_LT_48_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<48>(Inst, 1);
break;
case CVT_imm_95_48:
Inst.addOperand(MCOperand::createImm(48));
break;
case CVT_95_addMOVNMovAliasOperands_LT_32_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<32>(Inst, 1);
break;
case CVT_95_addMOVNMovAliasOperands_LT_48_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<48>(Inst, 1);
break;
case CVT_95_addFPRasZPRRegOperands_LT_128_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPRasZPRRegOperands<128>(Inst, 1);
break;
case CVT_95_addFPRasZPRRegOperands_LT_16_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPRasZPRRegOperands<16>(Inst, 1);
break;
case CVT_95_addFPRasZPRRegOperands_LT_32_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPRasZPRRegOperands<32>(Inst, 1);
break;
case CVT_95_addFPRasZPRRegOperands_LT_64_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPRasZPRRegOperands<64>(Inst, 1);
break;
case CVT_95_addFPRasZPRRegOperands_LT_8_GT_:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPRasZPRRegOperands<8>(Inst, 1);
break;
case CVT_95_addSIMDImmType10Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addSIMDImmType10Operands(Inst, 1);
break;
case CVT_95_addMRSSystemRegisterOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMRSSystemRegisterOperands(Inst, 1);
break;
case CVT_95_addMSRSystemRegisterOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addMSRSystemRegisterOperands(Inst, 1);
break;
case CVT_95_addSystemPStateFieldWithImm0_95_15Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addSystemPStateFieldWithImm0_15Operands(Inst, 1);
break;
case CVT_95_addSystemPStateFieldWithImm0_95_1Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addSystemPStateFieldWithImm0_1Operands(Inst, 1);
break;
case CVT_95_addPrefetchOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addPrefetchOperands(Inst, 1);
break;
case CVT_95_addPSBHintOperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addPSBHintOperands(Inst, 1);
break;
case CVT_imm_95_4:
Inst.addOperand(MCOperand::createImm(4));
break;
case CVT_regLR:
Inst.addOperand(MCOperand::createReg(AArch64::LR));
break;
case CVT_95_addUImm6Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm6Operands(Inst, 1);
break;
case CVT_imm_95_5:
Inst.addOperand(MCOperand::createImm(5));
break;
case CVT_95_addGPR64as32Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addGPR64as32Operands(Inst, 1);
break;
case CVT_imm_95_7:
Inst.addOperand(MCOperand::createImm(7));
break;
case CVT_95_addSysCROperands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addSysCROperands(Inst, 1);
break;
case CVT_95_addBranchTarget14Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addBranchTarget14Operands(Inst, 1);
break;
case CVT_95_addGPR32as64Operands:
static_cast<AArch64Operand&>(*Operands[OpIdx]).addGPR32as64Operands(Inst, 1);
break;
case CVT_imm_95_2:
Inst.addOperand(MCOperand::createImm(2));
break;
case CVT_imm_95_3:
Inst.addOperand(MCOperand::createImm(3));
break;
}
}
}
void AArch64AsmParser::
convertToMapAndConstraints(unsigned Kind,
const OperandVector &Operands) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
unsigned NumMCOperands = 0;
const uint8_t *Converter = ConversionTable[Kind];
for (const uint8_t *p = Converter; *p; p+= 2) {
switch (*p) {
default: llvm_unreachable("invalid conversion entry!");
case CVT_Reg:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("r");
++NumMCOperands;
break;
case CVT_Tied:
++NumMCOperands;
break;
case CVT_95_Reg:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("r");
NumMCOperands += 1;
break;
case CVT_95_addVectorReg128Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorReg64Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addRegOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_16:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_24:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_0:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addImmNegWithOptionalShiftOperands_LT_12_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addImmWithOptionalShiftOperands_LT_12_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addImmWithOptionalShiftOperands_LT_8_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addShifterOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addExtendOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addExtend64Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_16_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addAdrLabelOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addAdrpLabelOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmOperands_LT_int32_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmOperands_LT_int64_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmOperands_LT_int16_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmOperands_LT_int8_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_31:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_63:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addBranchTarget26Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addCondCodeOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addPCRelLabel19Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmNotOperands_LT_int32_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmNotOperands_LT_int64_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmNotOperands_LT_int16_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addLogicalImmNotOperands_LT_int8_95_t_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_32:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addBTIHintOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addComplexRotationOddOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addComplexRotationEvenOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorIndexOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_15:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_regWZR:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_regXZR:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_imm_95_1:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_20:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addBarrierOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_2_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPImmOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_zero_44__32_AArch64ExactFPImm_COLON__COLON_one_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorRegLoOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addExactFPImmOperands_LT_AArch64ExactFPImm_COLON__COLON_half_44__32_AArch64ExactFPImm_COLON__COLON_two_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_4_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_4_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_1_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_1_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_3_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_3_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_QReg_44__32_2_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_DReg_44__32_2_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_1_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_1_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_8_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_2_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_4_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_3_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addImmScaledOperands_LT_3_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addVectorListOperands_LT_AArch64Operand_COLON__COLON_VecListIdx_95_ZReg_44__32_4_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addUImm12OffsetOperands_LT_4_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addUImm12OffsetOperands_LT_8_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addUImm12OffsetOperands_LT_1_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addUImm12OffsetOperands_LT_2_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addUImm12OffsetOperands_LT_16_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMemExtendOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addMemExtend8Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 2;
break;
case CVT_95_addMOVZMovAliasOperands_LT_0_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVZMovAliasOperands_LT_16_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVNMovAliasOperands_LT_0_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVNMovAliasOperands_LT_16_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVZMovAliasOperands_LT_32_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVZMovAliasOperands_LT_48_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_48:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addMOVNMovAliasOperands_LT_32_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMOVNMovAliasOperands_LT_48_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPRasZPRRegOperands_LT_128_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPRasZPRRegOperands_LT_16_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPRasZPRRegOperands_LT_32_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPRasZPRRegOperands_LT_64_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addFPRasZPRRegOperands_LT_8_GT_:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addSIMDImmType10Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMRSSystemRegisterOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addMSRSystemRegisterOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addSystemPStateFieldWithImm0_95_15Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addSystemPStateFieldWithImm0_95_1Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addPrefetchOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addPSBHintOperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_4:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_regLR:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
++NumMCOperands;
break;
case CVT_95_addUImm6Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_5:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addGPR64as32Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_7:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_95_addSysCROperands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addBranchTarget14Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_95_addGPR32as64Operands:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("m");
NumMCOperands += 1;
break;
case CVT_imm_95_2:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
case CVT_imm_95_3:
Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
Operands[*(p + 1)]->setConstraint("");
++NumMCOperands;
break;
}
}
}
namespace {
/// MatchClassKind - The kinds of classes which participate in
/// instruction matching.
enum MatchClassKind {
InvalidMatchClass = 0,
OptionalMatchClass = 1,
MCK__DOT_16B, // '.16B'
MCK__DOT_1D, // '.1D'
MCK__DOT_1Q, // '.1Q'
MCK__DOT_2D, // '.2D'
MCK__DOT_2H, // '.2H'
MCK__DOT_2S, // '.2S'
MCK__DOT_4B, // '.4B'
MCK__DOT_4H, // '.4H'
MCK__DOT_4S, // '.4S'
MCK__DOT_8B, // '.8B'
MCK__DOT_8H, // '.8H'
MCK__DOT_B, // '.B'
MCK__DOT_D, // '.D'
MCK__DOT_H, // '.H'
MCK__DOT_Q, // '.Q'
MCK__DOT_S, // '.S'
MCK__EXCLAIM_, // '!'
MCK__HASH_0, // '#0'
MCK__HASH_1, // '#1'
MCK__HASH_10, // '#10'
MCK__HASH_12, // '#12'
MCK__HASH_14, // '#14'
MCK__HASH_16, // '#16'
MCK__HASH_2, // '#2'
MCK__HASH_24, // '#24'
MCK__HASH_25, // '#25'
MCK__HASH_26, // '#26'
MCK__HASH_27, // '#27'
MCK__HASH_28, // '#28'
MCK__HASH_29, // '#29'
MCK__HASH_3, // '#3'
MCK__HASH_30, // '#30'
MCK__HASH_31, // '#31'
MCK__HASH_32, // '#32'
MCK__HASH_4, // '#4'
MCK__HASH_48, // '#48'
MCK__HASH_6, // '#6'
MCK__HASH_64, // '#64'
MCK__HASH_7, // '#7'
MCK__HASH_8, // '#8'
MCK__DOT_, // '.'
MCK__DOT_0, // '.0'
MCK__DOT_16b, // '.16b'
MCK__DOT_1d, // '.1d'
MCK__DOT_1q, // '.1q'
MCK__DOT_2d, // '.2d'
MCK__DOT_2h, // '.2h'
MCK__DOT_2s, // '.2s'
MCK__DOT_4b, // '.4b'
MCK__DOT_4h, // '.4h'
MCK__DOT_4s, // '.4s'
MCK__DOT_8b, // '.8b'
MCK__DOT_8h, // '.8h'
MCK__DOT_b, // '.b'
MCK__DOT_d, // '.d'
MCK__DOT_h, // '.h'
MCK__DOT_q, // '.q'
MCK__DOT_s, // '.s'
MCK__47_, // '/'
MCK__91_, // '['
MCK__93_, // ']'
MCK_m, // 'm'
MCK_mul, // 'mul'
MCK_vl, // 'vl'
MCK_z, // 'z'
MCK_LAST_TOKEN = MCK_z,
MCK_Reg66, // derived register class
MCK_CCR, // register class 'CCR'
MCK_GPR32sponly, // register class 'GPR32sponly'
MCK_GPR64sponly, // register class 'GPR64sponly'
MCK_rtcGPR64, // register class 'rtcGPR64'
MCK_Reg57, // derived register class
MCK_Reg54, // derived register class
MCK_Reg74, // derived register class
MCK_Reg95, // derived register class
MCK_Reg94, // derived register class
MCK_Reg75, // derived register class
MCK_Reg107, // derived register class
MCK_Reg96, // derived register class
MCK_Reg93, // derived register class
MCK_Reg91, // derived register class
MCK_Reg76, // derived register class
MCK_Reg67, // derived register class
MCK_Reg106, // derived register class
MCK_Reg105, // derived register class
MCK_Reg97, // derived register class
MCK_Reg92, // derived register class
MCK_Reg90, // derived register class
MCK_Reg89, // derived register class
MCK_Reg77, // derived register class
MCK_Reg73, // derived register class
MCK_Reg68, // derived register class
MCK_Reg58, // derived register class
MCK_GPR32arg, // register class 'GPR32arg'
MCK_GPR64arg, // register class 'GPR64arg'
MCK_PPR_3b, // register class 'PPR_3b'
MCK_ZPR_3b, // register class 'ZPR_3b'
MCK_Reg63, // derived register class
MCK_Reg59, // derived register class
MCK_Reg60, // derived register class
MCK_Reg78, // derived register class
MCK_Reg36, // derived register class
MCK_Reg98, // derived register class
MCK_Reg88, // derived register class
MCK_Reg79, // derived register class
MCK_Reg64, // derived register class
MCK_Reg47, // derived register class
MCK_Reg46, // derived register class
MCK_Reg37, // derived register class
MCK_Reg104, // derived register class
MCK_Reg99, // derived register class
MCK_Reg87, // derived register class
MCK_Reg85, // derived register class
MCK_Reg80, // derived register class
MCK_Reg69, // derived register class
MCK_Reg65, // derived register class
MCK_Reg61, // derived register class
MCK_Reg55, // derived register class
MCK_Reg53, // derived register class
MCK_Reg48, // derived register class
MCK_Reg45, // derived register class
MCK_Reg43, // derived register class
MCK_Reg38, // derived register class
MCK_Reg32, // derived register class
MCK_Reg103, // derived register class
MCK_Reg102, // derived register class
MCK_Reg100, // derived register class
MCK_Reg86, // derived register class
MCK_Reg84, // derived register class
MCK_Reg83, // derived register class
MCK_Reg81, // derived register class
MCK_Reg72, // derived register class
MCK_Reg70, // derived register class
MCK_Reg52, // derived register class
MCK_Reg51, // derived register class
MCK_Reg49, // derived register class
MCK_Reg44, // derived register class
MCK_Reg42, // derived register class
MCK_Reg41, // derived register class
MCK_Reg39, // derived register class
MCK_Reg35, // derived register class
MCK_Reg33, // derived register class
MCK_FPR128_lo, // register class 'FPR128_lo'
MCK_PPR, // register class 'PPR'
MCK_WSeqPairsClass, // register class 'WSeqPairsClass'
MCK_XSeqPairsClass, // register class 'XSeqPairsClass'
MCK_ZPR_4b, // register class 'ZPR_4b'
MCK_Reg12, // derived register class
MCK_tcGPR64, // register class 'tcGPR64'
MCK_Reg13, // derived register class
MCK_GPR64noip, // register class 'GPR64noip'
MCK_GPR32common, // register class 'GPR32common'
MCK_GPR64common, // register class 'GPR64common'
MCK_DD, // register class 'DD'
MCK_DDD, // register class 'DDD'
MCK_DDDD, // register class 'DDDD'
MCK_FPR128, // register class 'FPR128'
MCK_FPR16, // register class 'FPR16'
MCK_FPR32, // register class 'FPR32'
MCK_FPR64, // register class 'FPR64'
MCK_FPR8, // register class 'FPR8'
MCK_GPR32, // register class 'GPR32'
MCK_GPR32sp, // register class 'GPR32sp'
MCK_GPR64, // register class 'GPR64'
MCK_GPR64sp, // register class 'GPR64sp'
MCK_QQ, // register class 'QQ'
MCK_QQQ, // register class 'QQQ'
MCK_QQQQ, // register class 'QQQQ'
MCK_ZPR, // register class 'ZPR'
MCK_ZPR2, // register class 'ZPR2'
MCK_ZPR3, // register class 'ZPR3'
MCK_ZPR4, // register class 'ZPR4'
MCK_GPR32all, // register class 'GPR32all'
MCK_GPR64all, // register class 'GPR64all'
MCK_LAST_REGISTER = MCK_GPR64all,
MCK_AddSubImmNeg, // user defined class 'AddSubImmNegOperand'
MCK_AddSubImm, // user defined class 'AddSubImmOperand'
MCK_AdrLabel, // user defined class 'AdrOperand'
MCK_AdrpLabel, // user defined class 'AdrpOperand'
MCK_BTIHint, // user defined class 'BTIHintOperand'
MCK_Barrier, // user defined class 'BarrierAsmOperand'
MCK_BranchTarget14, // user defined class 'BranchTarget14Operand'
MCK_BranchTarget26, // user defined class 'BranchTarget26Operand'
MCK_CondCode, // user defined class 'CondCode'
MCK_Extend64, // user defined class 'ExtendOperand64'
MCK_ExtendLSL64, // user defined class 'ExtendOperandLSL64'
MCK_Extend, // user defined class 'ExtendOperand'
MCK_FPImm, // user defined class 'FPImmOperand'
MCK_GPR32as64, // user defined class 'GPR32as64Operand'
MCK_GPR64NoXZRshifted16, // user defined class 'GPR64NoXZRshiftedAsmOpnd16'
MCK_GPR64NoXZRshifted32, // user defined class 'GPR64NoXZRshiftedAsmOpnd32'
MCK_GPR64NoXZRshifted64, // user defined class 'GPR64NoXZRshiftedAsmOpnd64'
MCK_GPR64NoXZRshifted8, // user defined class 'GPR64NoXZRshiftedAsmOpnd8'
MCK_GPR64as32, // user defined class 'GPR64as32Operand'
MCK_GPR64shifted16, // user defined class 'GPR64shiftedAsmOpnd16'
MCK_GPR64shifted32, // user defined class 'GPR64shiftedAsmOpnd32'
MCK_GPR64shifted64, // user defined class 'GPR64shiftedAsmOpnd64'
MCK_GPR64shifted8, // user defined class 'GPR64shiftedAsmOpnd8'
MCK_GPR64sp0, // user defined class 'GPR64spPlus0Operand'
MCK_Imm0_127, // user defined class 'Imm0_127Operand'
MCK_Imm0_15, // user defined class 'Imm0_15Operand'
MCK_Imm0_1, // user defined class 'Imm0_1Operand'
MCK_Imm0_255, // user defined class 'Imm0_255Operand'
MCK_Imm0_31, // user defined class 'Imm0_31Operand'
MCK_Imm0_63, // user defined class 'Imm0_63Operand'
MCK_Imm0_7, // user defined class 'Imm0_7Operand'
MCK_Imm1_16, // user defined class 'Imm1_16Operand'
MCK_Imm1_32, // user defined class 'Imm1_32Operand'
MCK_Imm1_64, // user defined class 'Imm1_64Operand'
MCK_Imm1_8, // user defined class 'Imm1_8Operand'
MCK_Imm, // user defined class 'ImmAsmOperand'
MCK_LogicalImm32Not, // user defined class 'LogicalImm32NotOperand'
MCK_LogicalImm32, // user defined class 'LogicalImm32Operand'
MCK_LogicalImm64Not, // user defined class 'LogicalImm64NotOperand'
MCK_LogicalImm64, // user defined class 'LogicalImm64Operand'
MCK_MRSSystemRegister, // user defined class 'MRSSystemRegisterOperand'
MCK_MSRSystemRegister, // user defined class 'MSRSystemRegisterOperand'
MCK_MemWExtend128, // user defined class 'MemWExtend128Operand'
MCK_MemWExtend16, // user defined class 'MemWExtend16Operand'
MCK_MemWExtend32, // user defined class 'MemWExtend32Operand'
MCK_MemWExtend64, // user defined class 'MemWExtend64Operand'
MCK_MemWExtend8, // user defined class 'MemWExtend8Operand'
MCK_MemXExtend128, // user defined class 'MemXExtend128Operand'
MCK_MemXExtend16, // user defined class 'MemXExtend16Operand'
MCK_MemXExtend32, // user defined class 'MemXExtend32Operand'
MCK_MemXExtend64, // user defined class 'MemXExtend64Operand'
MCK_MemXExtend8, // user defined class 'MemXExtend8Operand'
MCK_MovWSymbolG0, // user defined class 'MovWSymbolG0AsmOperand'
MCK_MovWSymbolG1, // user defined class 'MovWSymbolG1AsmOperand'
MCK_MovWSymbolG2, // user defined class 'MovWSymbolG2AsmOperand'
MCK_MovWSymbolG3, // user defined class 'MovWSymbolG3AsmOperand'
MCK_PCRelLabel19, // user defined class 'PCRelLabel19Operand'
MCK_SVEPredicateHReg, // user defined class 'PPRAsmOp16'
MCK_SVEPredicateSReg, // user defined class 'PPRAsmOp32'
MCK_SVEPredicate3bHReg, // user defined class 'PPRAsmOp3b16'
MCK_SVEPredicate3bSReg, // user defined class 'PPRAsmOp3b32'
MCK_SVEPredicate3bDReg, // user defined class 'PPRAsmOp3b64'
MCK_SVEPredicate3bBReg, // user defined class 'PPRAsmOp3b8'
MCK_SVEPredicate3bAnyReg, // user defined class 'PPRAsmOp3bAny'
MCK_SVEPredicateDReg, // user defined class 'PPRAsmOp64'
MCK_SVEPredicateBReg, // user defined class 'PPRAsmOp8'
MCK_SVEPredicateAnyReg, // user defined class 'PPRAsmOpAny'
MCK_PSBHint, // user defined class 'PSBHintOperand'
MCK_Prefetch, // user defined class 'PrefetchOperand'
MCK_SIMDImmType10, // user defined class 'SIMDImmType10Operand'
MCK_SImm10s8, // user defined class 'SImm10s8Operand'
MCK_SImm4s16, // user defined class 'SImm4s16Operand'
MCK_SImm4s1, // user defined class 'SImm4s1Operand'
MCK_SImm4s2, // user defined class 'SImm4s2Operand'
MCK_SImm4s3, // user defined class 'SImm4s3Operand'
MCK_SImm4s4, // user defined class 'SImm4s4Operand'
MCK_SImm5, // user defined class 'SImm5Operand'
MCK_SImm6, // user defined class 'SImm6Operand'
MCK_SImm6s1, // user defined class 'SImm6s1Operand'
MCK_SImm7s16, // user defined class 'SImm7s16Operand'
MCK_SImm7s4, // user defined class 'SImm7s4Operand'
MCK_SImm7s8, // user defined class 'SImm7s8Operand'
MCK_SImm8, // user defined class 'SImm8Operand'
MCK_SImm9OffsetFB128, // user defined class 'SImm9OffsetFB128Operand'
MCK_SImm9OffsetFB16, // user defined class 'SImm9OffsetFB16Operand'
MCK_SImm9OffsetFB32, // user defined class 'SImm9OffsetFB32Operand'
MCK_SImm9OffsetFB64, // user defined class 'SImm9OffsetFB64Operand'
MCK_SImm9OffsetFB8, // user defined class 'SImm9OffsetFB8Operand'
MCK_SImm9, // user defined class 'SImm9Operand'
MCK_SVEAddSubImm16, // user defined class 'SVEAddSubImmOperand16'
MCK_SVEAddSubImm32, // user defined class 'SVEAddSubImmOperand32'
MCK_SVEAddSubImm64, // user defined class 'SVEAddSubImmOperand64'
MCK_SVEAddSubImm8, // user defined class 'SVEAddSubImmOperand8'
MCK_SVECpyImm16, // user defined class 'SVECpyImmOperand16'
MCK_SVECpyImm32, // user defined class 'SVECpyImmOperand32'
MCK_SVECpyImm64, // user defined class 'SVECpyImmOperand64'
MCK_SVECpyImm8, // user defined class 'SVECpyImmOperand8'
MCK_SVEPattern, // user defined class 'SVEPatternOperand'
MCK_SVEPrefetch, // user defined class 'SVEPrefetchOperand'
MCK_SVEIndexRange0_63, // user defined class 'SVEVectorIndexExtDupBOperand'
MCK_SVEIndexRange0_7, // user defined class 'SVEVectorIndexExtDupDOperand'
MCK_SVEIndexRange0_31, // user defined class 'SVEVectorIndexExtDupHOperand'
MCK_SVEIndexRange0_3, // user defined class 'SVEVectorIndexExtDupQOperand'
MCK_SVEIndexRange0_15, // user defined class 'SVEVectorIndexExtDupSOperand'
MCK_LogicalVecHalfWordShifter, // user defined class 'LogicalVecHalfWordShifterOperand'
MCK_ArithmeticShifter32, // user defined class 'ArithmeticShifterOperand32'
MCK_ArithmeticShifter64, // user defined class 'ArithmeticShifterOperand64'
MCK_LogicalShifter32, // user defined class 'LogicalShifterOperand32'
MCK_LogicalShifter64, // user defined class 'LogicalShifterOperand64'
MCK_LogicalVecShifter, // user defined class 'LogicalVecShifterOperand'
MCK_MovImm32Shifter, // user defined class 'MovImm32ShifterOperand'
MCK_MovImm64Shifter, // user defined class 'MovImm64ShifterOperand'
MCK_MoveVecShifter, // user defined class 'MoveVecShifterOperand'
MCK_Shifter, // user defined class 'ShifterOperand'
MCK_SysCR, // user defined class 'SysCRAsmOperand'
MCK_SystemPStateFieldWithImm0_15, // user defined class 'SystemPStateFieldWithImm0_15Operand'
MCK_SystemPStateFieldWithImm0_1, // user defined class 'SystemPStateFieldWithImm0_1Operand'
MCK_TBZImm0_31, // user defined class 'TBZImm0_31Operand'
MCK_Imm32_63, // user defined class 'TBZImm32_63Operand'
MCK_UImm12Offset16, // user defined class 'UImm12OffsetScale16Operand'
MCK_UImm12Offset1, // user defined class 'UImm12OffsetScale1Operand'
MCK_UImm12Offset2, // user defined class 'UImm12OffsetScale2Operand'
MCK_UImm12Offset4, // user defined class 'UImm12OffsetScale4Operand'
MCK_UImm12Offset8, // user defined class 'UImm12OffsetScale8Operand'
MCK_UImm5s2, // user defined class 'UImm5s2Operand'
MCK_UImm5s4, // user defined class 'UImm5s4Operand'
MCK_UImm5s8, // user defined class 'UImm5s8Operand'
MCK_UImm6, // user defined class 'UImm6Operand'
MCK_UImm6s16, // user defined class 'UImm6s16Operand'
MCK_UImm6s1, // user defined class 'UImm6s1Operand'
MCK_UImm6s2, // user defined class 'UImm6s2Operand'
MCK_UImm6s4, // user defined class 'UImm6s4Operand'
MCK_UImm6s8, // user defined class 'UImm6s8Operand'
MCK_VecListFour128, // user defined class 'VecListFour_128AsmOperand'
MCK_TypedVectorList4_168, // user defined class 'VecListFour_16bAsmOperand'
MCK_TypedVectorList4_164, // user defined class 'VecListFour_1dAsmOperand'
MCK_TypedVectorList4_264, // user defined class 'VecListFour_2dAsmOperand'
MCK_TypedVectorList4_232, // user defined class 'VecListFour_2sAsmOperand'
MCK_TypedVectorList4_416, // user defined class 'VecListFour_4hAsmOperand'
MCK_TypedVectorList4_432, // user defined class 'VecListFour_4sAsmOperand'
MCK_VecListFour64, // user defined class 'VecListFour_64AsmOperand'
MCK_TypedVectorList4_88, // user defined class 'VecListFour_8bAsmOperand'
MCK_TypedVectorList4_816, // user defined class 'VecListFour_8hAsmOperand'
MCK_TypedVectorList4_08, // user defined class 'VecListFour_bAsmOperand'
MCK_TypedVectorList4_064, // user defined class 'VecListFour_dAsmOperand'
MCK_TypedVectorList4_016, // user defined class 'VecListFour_hAsmOperand'
MCK_TypedVectorList4_032, // user defined class 'VecListFour_sAsmOperand'
MCK_VecListOne128, // user defined class 'VecListOne_128AsmOperand'
MCK_TypedVectorList1_168, // user defined class 'VecListOne_16bAsmOperand'
MCK_TypedVectorList1_164, // user defined class 'VecListOne_1dAsmOperand'
MCK_TypedVectorList1_264, // user defined class 'VecListOne_2dAsmOperand'
MCK_TypedVectorList1_232, // user defined class 'VecListOne_2sAsmOperand'
MCK_TypedVectorList1_416, // user defined class 'VecListOne_4hAsmOperand'
MCK_TypedVectorList1_432, // user defined class 'VecListOne_4sAsmOperand'
MCK_VecListOne64, // user defined class 'VecListOne_64AsmOperand'
MCK_TypedVectorList1_88, // user defined class 'VecListOne_8bAsmOperand'
MCK_TypedVectorList1_816, // user defined class 'VecListOne_8hAsmOperand'
MCK_TypedVectorList1_08, // user defined class 'VecListOne_bAsmOperand'
MCK_TypedVectorList1_064, // user defined class 'VecListOne_dAsmOperand'
MCK_TypedVectorList1_016, // user defined class 'VecListOne_hAsmOperand'
MCK_TypedVectorList1_032, // user defined class 'VecListOne_sAsmOperand'
MCK_VecListThree128, // user defined class 'VecListThree_128AsmOperand'
MCK_TypedVectorList3_168, // user defined class 'VecListThree_16bAsmOperand'
MCK_TypedVectorList3_164, // user defined class 'VecListThree_1dAsmOperand'
MCK_TypedVectorList3_264, // user defined class 'VecListThree_2dAsmOperand'
MCK_TypedVectorList3_232, // user defined class 'VecListThree_2sAsmOperand'
MCK_TypedVectorList3_416, // user defined class 'VecListThree_4hAsmOperand'
MCK_TypedVectorList3_432, // user defined class 'VecListThree_4sAsmOperand'
MCK_VecListThree64, // user defined class 'VecListThree_64AsmOperand'
MCK_TypedVectorList3_88, // user defined class 'VecListThree_8bAsmOperand'
MCK_TypedVectorList3_816, // user defined class 'VecListThree_8hAsmOperand'
MCK_TypedVectorList3_08, // user defined class 'VecListThree_bAsmOperand'
MCK_TypedVectorList3_064, // user defined class 'VecListThree_dAsmOperand'
MCK_TypedVectorList3_016, // user defined class 'VecListThree_hAsmOperand'
MCK_TypedVectorList3_032, // user defined class 'VecListThree_sAsmOperand'
MCK_VecListTwo128, // user defined class 'VecListTwo_128AsmOperand'
MCK_TypedVectorList2_168, // user defined class 'VecListTwo_16bAsmOperand'
MCK_TypedVectorList2_164, // user defined class 'VecListTwo_1dAsmOperand'
MCK_TypedVectorList2_264, // user defined class 'VecListTwo_2dAsmOperand'
MCK_TypedVectorList2_232, // user defined class 'VecListTwo_2sAsmOperand'
MCK_TypedVectorList2_416, // user defined class 'VecListTwo_4hAsmOperand'
MCK_TypedVectorList2_432, // user defined class 'VecListTwo_4sAsmOperand'
MCK_VecListTwo64, // user defined class 'VecListTwo_64AsmOperand'
MCK_TypedVectorList2_88, // user defined class 'VecListTwo_8bAsmOperand'
MCK_TypedVectorList2_816, // user defined class 'VecListTwo_8hAsmOperand'
MCK_TypedVectorList2_08, // user defined class 'VecListTwo_bAsmOperand'
MCK_TypedVectorList2_064, // user defined class 'VecListTwo_dAsmOperand'
MCK_TypedVectorList2_016, // user defined class 'VecListTwo_hAsmOperand'
MCK_TypedVectorList2_032, // user defined class 'VecListTwo_sAsmOperand'
MCK_IndexRange1_1, // user defined class 'VectorIndex1Operand'
MCK_IndexRange0_15, // user defined class 'VectorIndexBOperand'
MCK_IndexRange0_1, // user defined class 'VectorIndexDOperand'
MCK_IndexRange0_7, // user defined class 'VectorIndexHOperand'
MCK_IndexRange0_3, // user defined class 'VectorIndexSOperand'
MCK_VectorReg128, // user defined class 'VectorReg128AsmOperand'
MCK_VectorReg64, // user defined class 'VectorReg64AsmOperand'
MCK_VectorRegLo, // user defined class 'VectorRegLoAsmOperand'
MCK_WSeqPair, // user defined class 'WSeqPairsAsmOperandClass'
MCK_XSeqPair, // user defined class 'XSeqPairsAsmOperandClass'
MCK_ZPRExtendLSL3216, // user defined class 'ZPR32AsmOpndExtLSL16'
MCK_ZPRExtendLSL3232, // user defined class 'ZPR32AsmOpndExtLSL32'
MCK_ZPRExtendLSL3264, // user defined class 'ZPR32AsmOpndExtLSL64'
MCK_ZPRExtendLSL328, // user defined class 'ZPR32AsmOpndExtLSL8'
MCK_ZPRExtendSXTW3216, // user defined class 'ZPR32AsmOpndExtSXTW16'
MCK_ZPRExtendSXTW3232, // user defined class 'ZPR32AsmOpndExtSXTW32'
MCK_ZPRExtendSXTW3264, // user defined class 'ZPR32AsmOpndExtSXTW64'
MCK_ZPRExtendSXTW328, // user defined class 'ZPR32AsmOpndExtSXTW8'
MCK_ZPRExtendSXTW328Only, // user defined class 'ZPR32AsmOpndExtSXTW8Only'
MCK_ZPRExtendUXTW3216, // user defined class 'ZPR32AsmOpndExtUXTW16'
MCK_ZPRExtendUXTW3232, // user defined class 'ZPR32AsmOpndExtUXTW32'
MCK_ZPRExtendUXTW3264, // user defined class 'ZPR32AsmOpndExtUXTW64'
MCK_ZPRExtendUXTW328, // user defined class 'ZPR32AsmOpndExtUXTW8'
MCK_ZPRExtendUXTW328Only, // user defined class 'ZPR32AsmOpndExtUXTW8Only'
MCK_ZPRExtendLSL6416, // user defined class 'ZPR64AsmOpndExtLSL16'
MCK_ZPRExtendLSL6432, // user defined class 'ZPR64AsmOpndExtLSL32'
MCK_ZPRExtendLSL6464, // user defined class 'ZPR64AsmOpndExtLSL64'
MCK_ZPRExtendLSL648, // user defined class 'ZPR64AsmOpndExtLSL8'
MCK_ZPRExtendSXTW6416, // user defined class 'ZPR64AsmOpndExtSXTW16'
MCK_ZPRExtendSXTW6432, // user defined class 'ZPR64AsmOpndExtSXTW32'
MCK_ZPRExtendSXTW6464, // user defined class 'ZPR64AsmOpndExtSXTW64'
MCK_ZPRExtendSXTW648, // user defined class 'ZPR64AsmOpndExtSXTW8'
MCK_ZPRExtendSXTW648Only, // user defined class 'ZPR64AsmOpndExtSXTW8Only'
MCK_ZPRExtendUXTW6416, // user defined class 'ZPR64AsmOpndExtUXTW16'
MCK_ZPRExtendUXTW6432, // user defined class 'ZPR64AsmOpndExtUXTW32'
MCK_ZPRExtendUXTW6464, // user defined class 'ZPR64AsmOpndExtUXTW64'
MCK_ZPRExtendUXTW648, // user defined class 'ZPR64AsmOpndExtUXTW8'
MCK_ZPRExtendUXTW648Only, // user defined class 'ZPR64AsmOpndExtUXTW8Only'
MCK_SVEVectorQReg, // user defined class 'ZPRAsmOp128'
MCK_SVEVectorHReg, // user defined class 'ZPRAsmOp16'
MCK_SVEVectorSReg, // user defined class 'ZPRAsmOp32'
MCK_SVEVector3bHReg, // user defined class 'ZPRAsmOp3b16'
MCK_SVEVector3bSReg, // user defined class 'ZPRAsmOp3b32'
MCK_SVEVector3bBReg, // user defined class 'ZPRAsmOp3b8'
MCK_SVEVector4bHReg, // user defined class 'ZPRAsmOp4b16'
MCK_SVEVector4bSReg, // user defined class 'ZPRAsmOp4b32'
MCK_SVEVector4bDReg, // user defined class 'ZPRAsmOp4b64'
MCK_SVEVectorDReg, // user defined class 'ZPRAsmOp64'
MCK_SVEVectorBReg, // user defined class 'ZPRAsmOp8'
MCK_SVEVectorAnyReg, // user defined class 'ZPRAsmOpAny'
MCK_FPRAsmOperandFPR8, // user defined class 'anonymous_2231'
MCK_FPRAsmOperandFPR16, // user defined class 'anonymous_2232'
MCK_FPRAsmOperandFPR32, // user defined class 'anonymous_2233'
MCK_FPRAsmOperandFPR64, // user defined class 'anonymous_2234'
MCK_FPRAsmOperandFPR128, // user defined class 'anonymous_2235'
MCK_FPR8asZPR, // user defined class 'anonymous_2236'
MCK_FPR16asZPR, // user defined class 'anonymous_2237'
MCK_FPR32asZPR, // user defined class 'anonymous_2238'
MCK_FPR64asZPR, // user defined class 'anonymous_2239'
MCK_FPR128asZPR, // user defined class 'anonymous_2240'
MCK_SVEVectorList18, // user defined class 'anonymous_2241'
MCK_SVEVectorList116, // user defined class 'anonymous_2242'
MCK_SVEVectorList132, // user defined class 'anonymous_2243'
MCK_SVEVectorList164, // user defined class 'anonymous_2244'
MCK_SVEVectorList28, // user defined class 'anonymous_2245'
MCK_SVEVectorList216, // user defined class 'anonymous_2246'
MCK_SVEVectorList232, // user defined class 'anonymous_2247'
MCK_SVEVectorList264, // user defined class 'anonymous_2248'
MCK_SVEVectorList38, // user defined class 'anonymous_2249'
MCK_SVEVectorList316, // user defined class 'anonymous_2250'
MCK_SVEVectorList332, // user defined class 'anonymous_2251'
MCK_SVEVectorList364, // user defined class 'anonymous_2252'
MCK_SVEVectorList48, // user defined class 'anonymous_2253'
MCK_SVEVectorList416, // user defined class 'anonymous_2254'
MCK_SVEVectorList432, // user defined class 'anonymous_2255'
MCK_SVEVectorList464, // user defined class 'anonymous_2256'
MCK_SImm9s16, // user defined class 'anonymous_2386'
MCK_Imm0_65535, // user defined class 'anonymous_2387'
MCK_ComplexRotationEven, // user defined class 'anonymous_2605'
MCK_ComplexRotationOdd, // user defined class 'anonymous_2607'
MCK_SVELogicalImm8, // user defined class 'anonymous_2657'
MCK_SVELogicalImm16, // user defined class 'anonymous_2658'
MCK_SVELogicalImm32, // user defined class 'anonymous_2659'
MCK_SVEPreferredLogicalImm16, // user defined class 'anonymous_2660'
MCK_SVEPreferredLogicalImm32, // user defined class 'anonymous_2661'
MCK_SVEPreferredLogicalImm64, // user defined class 'anonymous_2662'
MCK_SVELogicalImm8Not, // user defined class 'anonymous_2663'
MCK_SVELogicalImm16Not, // user defined class 'anonymous_2664'
MCK_SVELogicalImm32Not, // user defined class 'anonymous_2665'
MCK_SVEExactFPImmOperandHalfOne, // user defined class 'anonymous_2666'
MCK_SVEExactFPImmOperandHalfTwo, // user defined class 'anonymous_2667'
MCK_SVEExactFPImmOperandZeroOne, // user defined class 'anonymous_2668'
MCK_MOVZ32_lsl0MovAlias, // user defined class 'anonymous_3311_asmoperand'
MCK_MOVZ32_lsl16MovAlias, // user defined class 'anonymous_3312_asmoperand'
MCK_MOVZ64_lsl0MovAlias, // user defined class 'anonymous_3314_asmoperand'
MCK_MOVZ64_lsl16MovAlias, // user defined class 'anonymous_3316_asmoperand'
MCK_MOVZ64_lsl32MovAlias, // user defined class 'anonymous_3318_asmoperand'
MCK_MOVZ64_lsl48MovAlias, // user defined class 'anonymous_3320_asmoperand'
MCK_MOVN32_lsl0MovAlias, // user defined class 'anonymous_3322_asmoperand'
MCK_MOVN32_lsl16MovAlias, // user defined class 'anonymous_3324_asmoperand'
MCK_MOVN64_lsl0MovAlias, // user defined class 'anonymous_3326_asmoperand'
MCK_MOVN64_lsl16MovAlias, // user defined class 'anonymous_3328_asmoperand'
MCK_MOVN64_lsl32MovAlias, // user defined class 'anonymous_3330_asmoperand'
MCK_MOVN64_lsl48MovAlias, // user defined class 'anonymous_3332_asmoperand'
NumMatchClassKinds
};
} // end anonymous namespace
static unsigned getDiagKindFromRegisterClass(MatchClassKind RegisterClass) {
return MCTargetAsmParser::Match_InvalidOperand;
}
static MatchClassKind matchTokenString(StringRef Name) {
switch (Name.size()) {
default: break;
case 1: // 7 strings to match.
switch (Name[0]) {
default: break;
case '!': // 1 string to match.
return MCK__EXCLAIM_; // "!"
case '.': // 1 string to match.
return MCK__DOT_; // "."
case '/': // 1 string to match.
return MCK__47_; // "/"
case '[': // 1 string to match.
return MCK__91_; // "["
case ']': // 1 string to match.
return MCK__93_; // "]"
case 'm': // 1 string to match.
return MCK_m; // "m"
case 'z': // 1 string to match.
return MCK_z; // "z"
}
break;
case 2: // 20 strings to match.
switch (Name[0]) {
default: break;
case '#': // 8 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return MCK__HASH_0; // "#0"
case '1': // 1 string to match.
return MCK__HASH_1; // "#1"
case '2': // 1 string to match.
return MCK__HASH_2; // "#2"
case '3': // 1 string to match.
return MCK__HASH_3; // "#3"
case '4': // 1 string to match.
return MCK__HASH_4; // "#4"
case '6': // 1 string to match.
return MCK__HASH_6; // "#6"
case '7': // 1 string to match.
return MCK__HASH_7; // "#7"
case '8': // 1 string to match.
return MCK__HASH_8; // "#8"
}
break;
case '.': // 11 strings to match.
switch (Name[1]) {
default: break;
case '0': // 1 string to match.
return MCK__DOT_0; // ".0"
case 'B': // 1 string to match.
return MCK__DOT_B; // ".B"
case 'D': // 1 string to match.
return MCK__DOT_D; // ".D"
case 'H': // 1 string to match.
return MCK__DOT_H; // ".H"
case 'Q': // 1 string to match.
return MCK__DOT_Q; // ".Q"
case 'S': // 1 string to match.
return MCK__DOT_S; // ".S"
case 'b': // 1 string to match.
return MCK__DOT_b; // ".b"
case 'd': // 1 string to match.
return MCK__DOT_d; // ".d"
case 'h': // 1 string to match.
return MCK__DOT_h; // ".h"
case 'q': // 1 string to match.
return MCK__DOT_q; // ".q"
case 's': // 1 string to match.
return MCK__DOT_s; // ".s"
}
break;
case 'v': // 1 string to match.
if (Name[1] != 'l')
break;
return MCK_vl; // "vl"
}
break;
case 3: // 36 strings to match.
switch (Name[0]) {
default: break;
case '#': // 15 strings to match.
switch (Name[1]) {
default: break;
case '1': // 4 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return MCK__HASH_10; // "#10"
case '2': // 1 string to match.
return MCK__HASH_12; // "#12"
case '4': // 1 string to match.
return MCK__HASH_14; // "#14"
case '6': // 1 string to match.
return MCK__HASH_16; // "#16"
}
break;
case '2': // 6 strings to match.
switch (Name[2]) {
default: break;
case '4': // 1 string to match.
return MCK__HASH_24; // "#24"
case '5': // 1 string to match.
return MCK__HASH_25; // "#25"
case '6': // 1 string to match.
return MCK__HASH_26; // "#26"
case '7': // 1 string to match.
return MCK__HASH_27; // "#27"
case '8': // 1 string to match.
return MCK__HASH_28; // "#28"
case '9': // 1 string to match.
return MCK__HASH_29; // "#29"
}
break;
case '3': // 3 strings to match.
switch (Name[2]) {
default: break;
case '0': // 1 string to match.
return MCK__HASH_30; // "#30"
case '1': // 1 string to match.
return MCK__HASH_31; // "#31"
case '2': // 1 string to match.
return MCK__HASH_32; // "#32"
}
break;
case '4': // 1 string to match.
if (Name[2] != '8')
break;
return MCK__HASH_48; // "#48"
case '6': // 1 string to match.
if (Name[2] != '4')
break;
return MCK__HASH_64; // "#64"
}
break;
case '.': // 20 strings to match.
switch (Name[1]) {
default: break;
case '1': // 4 strings to match.
switch (Name[2]) {
default: break;
case 'D': // 1 string to match.
return MCK__DOT_1D; // ".1D"
case 'Q': // 1 string to match.
return MCK__DOT_1Q; // ".1Q"
case 'd': // 1 string to match.
return MCK__DOT_1d; // ".1d"
case 'q': // 1 string to match.
return MCK__DOT_1q; // ".1q"
}
break;
case '2': // 6 strings to match.
switch (Name[2]) {
default: break;
case 'D': // 1 string to match.
return MCK__DOT_2D; // ".2D"
case 'H': // 1 string to match.
return MCK__DOT_2H; // ".2H"
case 'S': // 1 string to match.
return MCK__DOT_2S; // ".2S"
case 'd': // 1 string to match.
return MCK__DOT_2d; // ".2d"
case 'h': // 1 string to match.
return MCK__DOT_2h; // ".2h"
case 's': // 1 string to match.
return MCK__DOT_2s; // ".2s"
}
break;
case '4': // 6 strings to match.
switch (Name[2]) {
default: break;
case 'B': // 1 string to match.
return MCK__DOT_4B; // ".4B"
case 'H': // 1 string to match.
return MCK__DOT_4H; // ".4H"
case 'S': // 1 string to match.
return MCK__DOT_4S; // ".4S"
case 'b': // 1 string to match.
return MCK__DOT_4b; // ".4b"
case 'h': // 1 string to match.
return MCK__DOT_4h; // ".4h"
case 's': // 1 string to match.
return MCK__DOT_4s; // ".4s"
}
break;
case '8': // 4 strings to match.
switch (Name[2]) {
default: break;
case 'B': // 1 string to match.
return MCK__DOT_8B; // ".8B"
case 'H': // 1 string to match.
return MCK__DOT_8H; // ".8H"
case 'b': // 1 string to match.
return MCK__DOT_8b; // ".8b"
case 'h': // 1 string to match.
return MCK__DOT_8h; // ".8h"
}
break;
}
break;
case 'm': // 1 string to match.
if (memcmp(Name.data()+1, "ul", 2) != 0)
break;
return MCK_mul; // "mul"
}
break;
case 4: // 2 strings to match.
if (memcmp(Name.data()+0, ".16", 3) != 0)
break;
switch (Name[3]) {
default: break;
case 'B': // 1 string to match.
return MCK__DOT_16B; // ".16B"
case 'b': // 1 string to match.
return MCK__DOT_16b; // ".16b"
}
break;
}
return InvalidMatchClass;
}
/// isSubclass - Compute whether \p A is a subclass of \p B.
static bool isSubclass(MatchClassKind A, MatchClassKind B) {
if (A == B)
return true;
switch (A) {
default:
return false;
case MCK__DOT_16B:
return B == MCK__DOT_16b;
case MCK__DOT_1D:
return B == MCK__DOT_1d;
case MCK__DOT_1Q:
return B == MCK__DOT_1q;
case MCK__DOT_2D:
return B == MCK__DOT_2d;
case MCK__DOT_2H:
return B == MCK__DOT_2h;
case MCK__DOT_2S:
return B == MCK__DOT_2s;
case MCK__DOT_4B:
return B == MCK__DOT_4b;
case MCK__DOT_4H:
return B == MCK__DOT_4h;
case MCK__DOT_4S:
return B == MCK__DOT_4s;
case MCK__DOT_8B:
return B == MCK__DOT_8b;
case MCK__DOT_8H:
return B == MCK__DOT_8h;
case MCK__DOT_B:
return B == MCK__DOT_b;
case MCK__DOT_D:
return B == MCK__DOT_d;
case MCK__DOT_H:
return B == MCK__DOT_h;
case MCK__DOT_Q:
return B == MCK__DOT_q;
case MCK__DOT_S:
return B == MCK__DOT_s;
case MCK_Reg66:
switch (B) {
default: return false;
case MCK_Reg59: return true;
case MCK_Reg60: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_GPR32sponly:
switch (B) {
default: return false;
case MCK_GPR32sp: return true;
case MCK_GPR32all: return true;
}
case MCK_GPR64sponly:
switch (B) {
default: return false;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_rtcGPR64:
switch (B) {
default: return false;
case MCK_tcGPR64: return true;
case MCK_GPR64common: return true;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_Reg57:
switch (B) {
default: return false;
case MCK_Reg58: return true;
case MCK_Reg63: return true;
case MCK_Reg59: return true;
case MCK_Reg60: return true;
case MCK_Reg64: return true;
case MCK_Reg65: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_Reg54:
switch (B) {
default: return false;
case MCK_Reg55: return true;
case MCK_WSeqPairsClass: return true;
}
case MCK_Reg74:
switch (B) {
default: return false;
case MCK_Reg94: return true;
case MCK_Reg75: return true;
case MCK_Reg93: return true;
case MCK_Reg91: return true;
case MCK_Reg76: return true;
case MCK_Reg92: return true;
case MCK_Reg90: return true;
case MCK_Reg89: return true;
case MCK_Reg77: return true;
case MCK_Reg78: return true;
case MCK_Reg88: return true;
case MCK_Reg79: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg95:
switch (B) {
default: return false;
case MCK_Reg107: return true;
case MCK_Reg96: return true;
case MCK_Reg106: return true;
case MCK_Reg105: return true;
case MCK_Reg97: return true;
case MCK_Reg98: return true;
case MCK_Reg104: return true;
case MCK_Reg99: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_Reg100: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg94:
switch (B) {
default: return false;
case MCK_Reg93: return true;
case MCK_Reg91: return true;
case MCK_Reg92: return true;
case MCK_Reg90: return true;
case MCK_Reg89: return true;
case MCK_Reg88: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg75:
switch (B) {
default: return false;
case MCK_Reg91: return true;
case MCK_Reg76: return true;
case MCK_Reg90: return true;
case MCK_Reg89: return true;
case MCK_Reg77: return true;
case MCK_Reg78: return true;
case MCK_Reg88: return true;
case MCK_Reg79: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg107:
switch (B) {
default: return false;
case MCK_Reg106: return true;
case MCK_Reg105: return true;
case MCK_Reg104: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg96:
switch (B) {
default: return false;
case MCK_Reg105: return true;
case MCK_Reg97: return true;
case MCK_Reg98: return true;
case MCK_Reg104: return true;
case MCK_Reg99: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_Reg100: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg93:
switch (B) {
default: return false;
case MCK_Reg92: return true;
case MCK_Reg90: return true;
case MCK_Reg87: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg91:
switch (B) {
default: return false;
case MCK_Reg90: return true;
case MCK_Reg89: return true;
case MCK_Reg88: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg76:
switch (B) {
default: return false;
case MCK_Reg89: return true;
case MCK_Reg77: return true;
case MCK_Reg78: return true;
case MCK_Reg88: return true;
case MCK_Reg79: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg67:
switch (B) {
default: return false;
case MCK_Reg73: return true;
case MCK_Reg68: return true;
case MCK_Reg69: return true;
case MCK_Reg72: return true;
case MCK_Reg70: return true;
case MCK_ZPR2: return true;
}
case MCK_Reg106:
switch (B) {
default: return false;
case MCK_Reg103: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg105:
switch (B) {
default: return false;
case MCK_Reg104: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg97:
switch (B) {
default: return false;
case MCK_Reg98: return true;
case MCK_Reg104: return true;
case MCK_Reg99: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_Reg100: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg92:
switch (B) {
default: return false;
case MCK_Reg86: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg90:
switch (B) {
default: return false;
case MCK_Reg87: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg89:
switch (B) {
default: return false;
case MCK_Reg88: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg77:
switch (B) {
default: return false;
case MCK_Reg78: return true;
case MCK_Reg88: return true;
case MCK_Reg79: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg73:
switch (B) {
default: return false;
case MCK_Reg72: return true;
case MCK_ZPR2: return true;
}
case MCK_Reg68:
switch (B) {
default: return false;
case MCK_Reg69: return true;
case MCK_Reg72: return true;
case MCK_Reg70: return true;
case MCK_ZPR2: return true;
}
case MCK_Reg58:
switch (B) {
default: return false;
case MCK_Reg63: return true;
case MCK_Reg59: return true;
case MCK_Reg60: return true;
case MCK_Reg64: return true;
case MCK_Reg65: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_GPR32arg:
switch (B) {
default: return false;
case MCK_GPR32common: return true;
case MCK_GPR32: return true;
case MCK_GPR32sp: return true;
case MCK_GPR32all: return true;
}
case MCK_GPR64arg:
switch (B) {
default: return false;
case MCK_Reg12: return true;
case MCK_tcGPR64: return true;
case MCK_Reg13: return true;
case MCK_GPR64noip: return true;
case MCK_GPR64common: return true;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_PPR_3b:
return B == MCK_PPR;
case MCK_ZPR_3b:
switch (B) {
default: return false;
case MCK_ZPR_4b: return true;
case MCK_ZPR: return true;
}
case MCK_Reg63:
switch (B) {
default: return false;
case MCK_Reg60: return true;
case MCK_Reg64: return true;
case MCK_Reg65: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_Reg59:
switch (B) {
default: return false;
case MCK_Reg60: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_Reg60:
switch (B) {
default: return false;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_Reg78:
switch (B) {
default: return false;
case MCK_Reg88: return true;
case MCK_Reg79: return true;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg36:
switch (B) {
default: return false;
case MCK_Reg46: return true;
case MCK_Reg37: return true;
case MCK_Reg45: return true;
case MCK_Reg43: return true;
case MCK_Reg38: return true;
case MCK_Reg44: return true;
case MCK_Reg42: return true;
case MCK_Reg41: return true;
case MCK_Reg39: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg98:
switch (B) {
default: return false;
case MCK_Reg104: return true;
case MCK_Reg99: return true;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_Reg100: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg88:
switch (B) {
default: return false;
case MCK_Reg87: return true;
case MCK_Reg85: return true;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg79:
switch (B) {
default: return false;
case MCK_Reg85: return true;
case MCK_Reg80: return true;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg64:
switch (B) {
default: return false;
case MCK_Reg65: return true;
case MCK_Reg61: return true;
case MCK_XSeqPairsClass: return true;
}
case MCK_Reg47:
switch (B) {
default: return false;
case MCK_Reg53: return true;
case MCK_Reg48: return true;
case MCK_Reg52: return true;
case MCK_Reg51: return true;
case MCK_Reg49: return true;
case MCK_QQQ: return true;
}
case MCK_Reg46:
switch (B) {
default: return false;
case MCK_Reg45: return true;
case MCK_Reg43: return true;
case MCK_Reg44: return true;
case MCK_Reg42: return true;
case MCK_Reg41: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg37:
switch (B) {
default: return false;
case MCK_Reg43: return true;
case MCK_Reg38: return true;
case MCK_Reg42: return true;
case MCK_Reg41: return true;
case MCK_Reg39: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg104:
switch (B) {
default: return false;
case MCK_Reg103: return true;
case MCK_Reg102: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg99:
switch (B) {
default: return false;
case MCK_Reg102: return true;
case MCK_Reg100: return true;
case MCK_ZPR3: return true;
}
case MCK_Reg87:
switch (B) {
default: return false;
case MCK_Reg86: return true;
case MCK_Reg84: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg85:
switch (B) {
default: return false;
case MCK_Reg84: return true;
case MCK_Reg83: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg80:
switch (B) {
default: return false;
case MCK_Reg83: return true;
case MCK_Reg81: return true;
case MCK_ZPR4: return true;
}
case MCK_Reg69:
switch (B) {
default: return false;
case MCK_Reg72: return true;
case MCK_Reg70: return true;
case MCK_ZPR2: return true;
}
case MCK_Reg65:
return B == MCK_XSeqPairsClass;
case MCK_Reg61:
return B == MCK_XSeqPairsClass;
case MCK_Reg55:
return B == MCK_WSeqPairsClass;
case MCK_Reg53:
switch (B) {
default: return false;
case MCK_Reg52: return true;
case MCK_Reg51: return true;
case MCK_QQQ: return true;
}
case MCK_Reg48:
switch (B) {
default: return false;
case MCK_Reg51: return true;
case MCK_Reg49: return true;
case MCK_QQQ: return true;
}
case MCK_Reg45:
switch (B) {
default: return false;
case MCK_Reg44: return true;
case MCK_Reg42: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg43:
switch (B) {
default: return false;
case MCK_Reg42: return true;
case MCK_Reg41: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg38:
switch (B) {
default: return false;
case MCK_Reg41: return true;
case MCK_Reg39: return true;
case MCK_QQQQ: return true;
}
case MCK_Reg32:
switch (B) {
default: return false;
case MCK_Reg35: return true;
case MCK_Reg33: return true;
case MCK_QQ: return true;
}
case MCK_Reg103:
return B == MCK_ZPR3;
case MCK_Reg102:
return B == MCK_ZPR3;
case MCK_Reg100:
return B == MCK_ZPR3;
case MCK_Reg86:
return B == MCK_ZPR4;
case MCK_Reg84:
return B == MCK_ZPR4;
case MCK_Reg83:
return B == MCK_ZPR4;
case MCK_Reg81:
return B == MCK_ZPR4;
case MCK_Reg72:
return B == MCK_ZPR2;
case MCK_Reg70:
return B == MCK_ZPR2;
case MCK_Reg52:
return B == MCK_QQQ;
case MCK_Reg51:
return B == MCK_QQQ;
case MCK_Reg49:
return B == MCK_QQQ;
case MCK_Reg44:
return B == MCK_QQQQ;
case MCK_Reg42:
return B == MCK_QQQQ;
case MCK_Reg41:
return B == MCK_QQQQ;
case MCK_Reg39:
return B == MCK_QQQQ;
case MCK_Reg35:
return B == MCK_QQ;
case MCK_Reg33:
return B == MCK_QQ;
case MCK_FPR128_lo:
return B == MCK_FPR128;
case MCK_ZPR_4b:
return B == MCK_ZPR;
case MCK_Reg12:
switch (B) {
default: return false;
case MCK_tcGPR64: return true;
case MCK_Reg13: return true;
case MCK_GPR64noip: return true;
case MCK_GPR64common: return true;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_tcGPR64:
switch (B) {
default: return false;
case MCK_GPR64common: return true;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_Reg13:
switch (B) {
default: return false;
case MCK_GPR64noip: return true;
case MCK_GPR64common: return true;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_GPR64noip:
switch (B) {
default: return false;
case MCK_GPR64: return true;
case MCK_GPR64all: return true;
}
case MCK_GPR32common:
switch (B) {
default: return false;
case MCK_GPR32: return true;
case MCK_GPR32sp: return true;
case MCK_GPR32all: return true;
}
case MCK_GPR64common:
switch (B) {
default: return false;
case MCK_GPR64: return true;
case MCK_GPR64sp: return true;
case MCK_GPR64all: return true;
}
case MCK_GPR32:
return B == MCK_GPR32all;
case MCK_GPR32sp:
return B == MCK_GPR32all;
case MCK_GPR64:
return B == MCK_GPR64all;
case MCK_GPR64sp:
return B == MCK_GPR64all;
case MCK_Extend64:
return B == MCK_Extend;
case MCK_ExtendLSL64:
return B == MCK_Extend;
case MCK_LogicalVecHalfWordShifter:
switch (B) {
default: return false;
case MCK_LogicalVecShifter: return true;
case MCK_Shifter: return true;
}
case MCK_ArithmeticShifter32:
return B == MCK_Shifter;
case MCK_ArithmeticShifter64:
return B == MCK_Shifter;
case MCK_LogicalShifter32:
return B == MCK_Shifter;
case MCK_LogicalShifter64:
return B == MCK_Shifter;
case MCK_LogicalVecShifter:
return B == MCK_Shifter;
case MCK_MovImm32Shifter:
return B == MCK_Shifter;
case MCK_MovImm64Shifter:
return B == MCK_Shifter;
case MCK_MoveVecShifter:
return B == MCK_Shifter;
}
}
static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
AArch64Operand &Operand = (AArch64Operand&)GOp;
if (Kind == InvalidMatchClass)
return MCTargetAsmParser::Match_InvalidOperand;
if (Operand.isToken() && Kind <= MCK_LAST_TOKEN)
return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
MCTargetAsmParser::Match_Success :
MCTargetAsmParser::Match_InvalidOperand;
switch (Kind) {
default: break;
// 'AddSubImmNeg' class
case MCK_AddSubImmNeg: {
DiagnosticPredicate DP(Operand.isAddSubImmNeg());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubSecondSource;
break;
}
// 'AddSubImm' class
case MCK_AddSubImm: {
DiagnosticPredicate DP(Operand.isAddSubImm());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubSecondSource;
break;
}
// 'AdrLabel' class
case MCK_AdrLabel: {
DiagnosticPredicate DP(Operand.isAdrLabel());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidLabel;
break;
}
// 'AdrpLabel' class
case MCK_AdrpLabel: {
DiagnosticPredicate DP(Operand.isAdrpLabel());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidLabel;
break;
}
// 'BTIHint' class
case MCK_BTIHint: {
DiagnosticPredicate DP(Operand.isBTIHint());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Barrier' class
case MCK_Barrier: {
DiagnosticPredicate DP(Operand.isBarrier());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'BranchTarget14' class
case MCK_BranchTarget14: {
DiagnosticPredicate DP(Operand.isBranchTarget<14>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidLabel;
break;
}
// 'BranchTarget26' class
case MCK_BranchTarget26: {
DiagnosticPredicate DP(Operand.isBranchTarget<26>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidLabel;
break;
}
// 'CondCode' class
case MCK_CondCode: {
DiagnosticPredicate DP(Operand.isCondCode());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidCondCode;
break;
}
// 'Extend64' class
case MCK_Extend64: {
DiagnosticPredicate DP(Operand.isExtend64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegExtendSmall;
break;
}
// 'ExtendLSL64' class
case MCK_ExtendLSL64: {
DiagnosticPredicate DP(Operand.isExtendLSL64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegExtendLarge;
break;
}
// 'Extend' class
case MCK_Extend: {
DiagnosticPredicate DP(Operand.isExtend());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegExtendLarge;
break;
}
// 'FPImm' class
case MCK_FPImm: {
DiagnosticPredicate DP(Operand.isFPImm());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidFPImm;
break;
}
// 'GPR32as64' class
case MCK_GPR32as64: {
DiagnosticPredicate DP(Operand.isGPR32as64());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'GPR64NoXZRshifted16' class
case MCK_GPR64NoXZRshifted16: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64commonRegClassID, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64NoXZRshifted16;
break;
}
// 'GPR64NoXZRshifted32' class
case MCK_GPR64NoXZRshifted32: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64commonRegClassID, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64NoXZRshifted32;
break;
}
// 'GPR64NoXZRshifted64' class
case MCK_GPR64NoXZRshifted64: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64commonRegClassID, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64NoXZRshifted64;
break;
}
// 'GPR64NoXZRshifted8' class
case MCK_GPR64NoXZRshifted8: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64commonRegClassID, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64NoXZRshifted8;
break;
}
// 'GPR64as32' class
case MCK_GPR64as32: {
DiagnosticPredicate DP(Operand.isGPR64as32());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'GPR64shifted16' class
case MCK_GPR64shifted16: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64RegClassID, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64shifted16;
break;
}
// 'GPR64shifted32' class
case MCK_GPR64shifted32: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64RegClassID, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64shifted32;
break;
}
// 'GPR64shifted64' class
case MCK_GPR64shifted64: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64RegClassID, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64shifted64;
break;
}
// 'GPR64shifted8' class
case MCK_GPR64shifted8: {
DiagnosticPredicate DP(Operand.isGPR64WithShiftExtend<AArch64::GPR64RegClassID, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidGPR64shifted8;
break;
}
// 'GPR64sp0' class
case MCK_GPR64sp0: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::GPR64spRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Imm0_127' class
case MCK_Imm0_127: {
DiagnosticPredicate DP(Operand.isImmInRange<0,127>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_127;
break;
}
// 'Imm0_15' class
case MCK_Imm0_15: {
DiagnosticPredicate DP(Operand.isImmInRange<0,15>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_15;
break;
}
// 'Imm0_1' class
case MCK_Imm0_1: {
DiagnosticPredicate DP(Operand.isImmInRange<0,1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_1;
break;
}
// 'Imm0_255' class
case MCK_Imm0_255: {
DiagnosticPredicate DP(Operand.isImmInRange<0,255>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_255;
break;
}
// 'Imm0_31' class
case MCK_Imm0_31: {
DiagnosticPredicate DP(Operand.isImmInRange<0,31>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_31;
break;
}
// 'Imm0_63' class
case MCK_Imm0_63: {
DiagnosticPredicate DP(Operand.isImmInRange<0,63>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_63;
break;
}
// 'Imm0_7' class
case MCK_Imm0_7: {
DiagnosticPredicate DP(Operand.isImmInRange<0,7>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_7;
break;
}
// 'Imm1_16' class
case MCK_Imm1_16: {
DiagnosticPredicate DP(Operand.isImmInRange<1,16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm1_16;
break;
}
// 'Imm1_32' class
case MCK_Imm1_32: {
DiagnosticPredicate DP(Operand.isImmInRange<1,32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm1_32;
break;
}
// 'Imm1_64' class
case MCK_Imm1_64: {
DiagnosticPredicate DP(Operand.isImmInRange<1,64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm1_64;
break;
}
// 'Imm1_8' class
case MCK_Imm1_8: {
DiagnosticPredicate DP(Operand.isImmInRange<1,8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm1_8;
break;
}
// 'Imm' class
case MCK_Imm: {
DiagnosticPredicate DP(Operand.isImm());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'LogicalImm32Not' class
case MCK_LogicalImm32Not: {
DiagnosticPredicate DP(Operand.isLogicalImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'LogicalImm32' class
case MCK_LogicalImm32: {
DiagnosticPredicate DP(Operand.isLogicalImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'LogicalImm64Not' class
case MCK_LogicalImm64Not: {
DiagnosticPredicate DP(Operand.isLogicalImm<int64_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'LogicalImm64' class
case MCK_LogicalImm64: {
DiagnosticPredicate DP(Operand.isLogicalImm<int64_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'MRSSystemRegister' class
case MCK_MRSSystemRegister: {
DiagnosticPredicate DP(Operand.isMRSSystemRegister());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_MRS;
break;
}
// 'MSRSystemRegister' class
case MCK_MSRSystemRegister: {
DiagnosticPredicate DP(Operand.isMSRSystemRegister());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_MSR;
break;
}
// 'MemWExtend128' class
case MCK_MemWExtend128: {
DiagnosticPredicate DP(Operand.isMemWExtend<128>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryWExtend128;
break;
}
// 'MemWExtend16' class
case MCK_MemWExtend16: {
DiagnosticPredicate DP(Operand.isMemWExtend<16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryWExtend16;
break;
}
// 'MemWExtend32' class
case MCK_MemWExtend32: {
DiagnosticPredicate DP(Operand.isMemWExtend<32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryWExtend32;
break;
}
// 'MemWExtend64' class
case MCK_MemWExtend64: {
DiagnosticPredicate DP(Operand.isMemWExtend<64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryWExtend64;
break;
}
// 'MemWExtend8' class
case MCK_MemWExtend8: {
DiagnosticPredicate DP(Operand.isMemWExtend<8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryWExtend8;
break;
}
// 'MemXExtend128' class
case MCK_MemXExtend128: {
DiagnosticPredicate DP(Operand.isMemXExtend<128>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryXExtend128;
break;
}
// 'MemXExtend16' class
case MCK_MemXExtend16: {
DiagnosticPredicate DP(Operand.isMemXExtend<16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryXExtend16;
break;
}
// 'MemXExtend32' class
case MCK_MemXExtend32: {
DiagnosticPredicate DP(Operand.isMemXExtend<32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryXExtend32;
break;
}
// 'MemXExtend64' class
case MCK_MemXExtend64: {
DiagnosticPredicate DP(Operand.isMemXExtend<64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryXExtend64;
break;
}
// 'MemXExtend8' class
case MCK_MemXExtend8: {
DiagnosticPredicate DP(Operand.isMemXExtend<8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryXExtend8;
break;
}
// 'MovWSymbolG0' class
case MCK_MovWSymbolG0: {
DiagnosticPredicate DP(Operand.isMovWSymbolG0());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MovWSymbolG1' class
case MCK_MovWSymbolG1: {
DiagnosticPredicate DP(Operand.isMovWSymbolG1());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MovWSymbolG2' class
case MCK_MovWSymbolG2: {
DiagnosticPredicate DP(Operand.isMovWSymbolG2());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MovWSymbolG3' class
case MCK_MovWSymbolG3: {
DiagnosticPredicate DP(Operand.isMovWSymbolG3());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'PCRelLabel19' class
case MCK_PCRelLabel19: {
DiagnosticPredicate DP(Operand.isBranchTarget<19>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidLabel;
break;
}
// 'SVEPredicateHReg' class
case MCK_SVEPredicateHReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<16, AArch64::PPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicateHReg;
break;
}
// 'SVEPredicateSReg' class
case MCK_SVEPredicateSReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<32, AArch64::PPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicateSReg;
break;
}
// 'SVEPredicate3bHReg' class
case MCK_SVEPredicate3bHReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<16, AArch64::PPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicate3bHReg;
break;
}
// 'SVEPredicate3bSReg' class
case MCK_SVEPredicate3bSReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<32, AArch64::PPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicate3bSReg;
break;
}
// 'SVEPredicate3bDReg' class
case MCK_SVEPredicate3bDReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<64, AArch64::PPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicate3bDReg;
break;
}
// 'SVEPredicate3bBReg' class
case MCK_SVEPredicate3bBReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<8, AArch64::PPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicate3bBReg;
break;
}
// 'SVEPredicate3bAnyReg' class
case MCK_SVEPredicate3bAnyReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<0, AArch64::PPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicate3bAnyReg;
break;
}
// 'SVEPredicateDReg' class
case MCK_SVEPredicateDReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<64, AArch64::PPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicateDReg;
break;
}
// 'SVEPredicateBReg' class
case MCK_SVEPredicateBReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<8, AArch64::PPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicateBReg;
break;
}
// 'SVEPredicateAnyReg' class
case MCK_SVEPredicateAnyReg: {
DiagnosticPredicate DP(Operand.isSVEPredicateVectorRegOfWidth<0, AArch64::PPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPredicateAnyReg;
break;
}
// 'PSBHint' class
case MCK_PSBHint: {
DiagnosticPredicate DP(Operand.isPSBHint());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Prefetch' class
case MCK_Prefetch: {
DiagnosticPredicate DP(Operand.isPrefetch());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SIMDImmType10' class
case MCK_SIMDImmType10: {
DiagnosticPredicate DP(Operand.isSIMDImmType10());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm10s8' class
case MCK_SImm10s8: {
DiagnosticPredicate DP(Operand.isSImmScaled<10, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed8SImm10;
break;
}
// 'SImm4s16' class
case MCK_SImm4s16: {
DiagnosticPredicate DP(Operand.isSImmScaled<4, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed16SImm4;
break;
}
// 'SImm4s1' class
case MCK_SImm4s1: {
DiagnosticPredicate DP(Operand.isSImmScaled<4, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed1SImm4;
break;
}
// 'SImm4s2' class
case MCK_SImm4s2: {
DiagnosticPredicate DP(Operand.isSImmScaled<4, 2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed2SImm4;
break;
}
// 'SImm4s3' class
case MCK_SImm4s3: {
DiagnosticPredicate DP(Operand.isSImmScaled<4, 3>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed3SImm4;
break;
}
// 'SImm4s4' class
case MCK_SImm4s4: {
DiagnosticPredicate DP(Operand.isSImmScaled<4, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed4SImm4;
break;
}
// 'SImm5' class
case MCK_SImm5: {
DiagnosticPredicate DP(Operand.isSImm<5>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexedSImm5;
break;
}
// 'SImm6' class
case MCK_SImm6: {
DiagnosticPredicate DP(Operand.isSImm<6>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexedSImm6;
break;
}
// 'SImm6s1' class
case MCK_SImm6s1: {
DiagnosticPredicate DP(Operand.isSImmScaled<6, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed1SImm6;
break;
}
// 'SImm7s16' class
case MCK_SImm7s16: {
DiagnosticPredicate DP(Operand.isSImmScaled<7, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed16SImm7;
break;
}
// 'SImm7s4' class
case MCK_SImm7s4: {
DiagnosticPredicate DP(Operand.isSImmScaled<7, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed4SImm7;
break;
}
// 'SImm7s8' class
case MCK_SImm7s8: {
DiagnosticPredicate DP(Operand.isSImmScaled<7, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed8SImm7;
break;
}
// 'SImm8' class
case MCK_SImm8: {
DiagnosticPredicate DP(Operand.isSImm<8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexedSImm8;
break;
}
// 'SImm9OffsetFB128' class
case MCK_SImm9OffsetFB128: {
DiagnosticPredicate DP(Operand.isSImm9OffsetFB<128>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9OffsetFB16' class
case MCK_SImm9OffsetFB16: {
DiagnosticPredicate DP(Operand.isSImm9OffsetFB<16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9OffsetFB32' class
case MCK_SImm9OffsetFB32: {
DiagnosticPredicate DP(Operand.isSImm9OffsetFB<32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9OffsetFB64' class
case MCK_SImm9OffsetFB64: {
DiagnosticPredicate DP(Operand.isSImm9OffsetFB<64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9OffsetFB8' class
case MCK_SImm9OffsetFB8: {
DiagnosticPredicate DP(Operand.isSImm9OffsetFB<8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9' class
case MCK_SImm9: {
DiagnosticPredicate DP(Operand.isSImm<9>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexedSImm9;
break;
}
// 'SVEAddSubImm16' class
case MCK_SVEAddSubImm16: {
DiagnosticPredicate DP(Operand.isSVEAddSubImm<int16_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEAddSubImm16;
break;
}
// 'SVEAddSubImm32' class
case MCK_SVEAddSubImm32: {
DiagnosticPredicate DP(Operand.isSVEAddSubImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEAddSubImm32;
break;
}
// 'SVEAddSubImm64' class
case MCK_SVEAddSubImm64: {
DiagnosticPredicate DP(Operand.isSVEAddSubImm<int64_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEAddSubImm64;
break;
}
// 'SVEAddSubImm8' class
case MCK_SVEAddSubImm8: {
DiagnosticPredicate DP(Operand.isSVEAddSubImm<int8_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEAddSubImm8;
break;
}
// 'SVECpyImm16' class
case MCK_SVECpyImm16: {
DiagnosticPredicate DP(Operand.isSVECpyImm<int16_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVECpyImm16;
break;
}
// 'SVECpyImm32' class
case MCK_SVECpyImm32: {
DiagnosticPredicate DP(Operand.isSVECpyImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVECpyImm32;
break;
}
// 'SVECpyImm64' class
case MCK_SVECpyImm64: {
DiagnosticPredicate DP(Operand.isSVECpyImm<int64_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVECpyImm64;
break;
}
// 'SVECpyImm8' class
case MCK_SVECpyImm8: {
DiagnosticPredicate DP(Operand.isSVECpyImm<int8_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVECpyImm8;
break;
}
// 'SVEPattern' class
case MCK_SVEPattern: {
DiagnosticPredicate DP(Operand.isSVEPattern());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEPattern;
break;
}
// 'SVEPrefetch' class
case MCK_SVEPrefetch: {
DiagnosticPredicate DP(Operand.isPrefetch());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEIndexRange0_63' class
case MCK_SVEIndexRange0_63: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 63>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEIndexRange0_63;
break;
}
// 'SVEIndexRange0_7' class
case MCK_SVEIndexRange0_7: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 7>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEIndexRange0_7;
break;
}
// 'SVEIndexRange0_31' class
case MCK_SVEIndexRange0_31: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 31>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEIndexRange0_31;
break;
}
// 'SVEIndexRange0_3' class
case MCK_SVEIndexRange0_3: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 3>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEIndexRange0_3;
break;
}
// 'SVEIndexRange0_15' class
case MCK_SVEIndexRange0_15: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 15>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEIndexRange0_15;
break;
}
// 'LogicalVecHalfWordShifter' class
case MCK_LogicalVecHalfWordShifter: {
DiagnosticPredicate DP(Operand.isLogicalVecHalfWordShifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ArithmeticShifter32' class
case MCK_ArithmeticShifter32: {
DiagnosticPredicate DP(Operand.isArithmeticShifter<32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegShift32;
break;
}
// 'ArithmeticShifter64' class
case MCK_ArithmeticShifter64: {
DiagnosticPredicate DP(Operand.isArithmeticShifter<64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegShift64;
break;
}
// 'LogicalShifter32' class
case MCK_LogicalShifter32: {
DiagnosticPredicate DP(Operand.isLogicalShifter<32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegShift32;
break;
}
// 'LogicalShifter64' class
case MCK_LogicalShifter64: {
DiagnosticPredicate DP(Operand.isLogicalShifter<64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_AddSubRegShift64;
break;
}
// 'LogicalVecShifter' class
case MCK_LogicalVecShifter: {
DiagnosticPredicate DP(Operand.isLogicalVecShifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MovImm32Shifter' class
case MCK_MovImm32Shifter: {
DiagnosticPredicate DP(Operand.isMovImm32Shifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMovImm32Shift;
break;
}
// 'MovImm64Shifter' class
case MCK_MovImm64Shifter: {
DiagnosticPredicate DP(Operand.isMovImm64Shifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMovImm64Shift;
break;
}
// 'MoveVecShifter' class
case MCK_MoveVecShifter: {
DiagnosticPredicate DP(Operand.isMoveVecShifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Shifter' class
case MCK_Shifter: {
DiagnosticPredicate DP(Operand.isShifter());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SysCR' class
case MCK_SysCR: {
DiagnosticPredicate DP(Operand.isSysCR());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SystemPStateFieldWithImm0_15' class
case MCK_SystemPStateFieldWithImm0_15: {
DiagnosticPredicate DP(Operand.isSystemPStateFieldWithImm0_15());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SystemPStateFieldWithImm0_1' class
case MCK_SystemPStateFieldWithImm0_1: {
DiagnosticPredicate DP(Operand.isSystemPStateFieldWithImm0_1());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TBZImm0_31' class
case MCK_TBZImm0_31: {
DiagnosticPredicate DP(Operand.isImmInRange<0,31>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'Imm32_63' class
case MCK_Imm32_63: {
DiagnosticPredicate DP(Operand.isImmInRange<32,63>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_63;
break;
}
// 'UImm12Offset16' class
case MCK_UImm12Offset16: {
DiagnosticPredicate DP(Operand.isUImm12Offset<16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed16;
break;
}
// 'UImm12Offset1' class
case MCK_UImm12Offset1: {
DiagnosticPredicate DP(Operand.isUImm12Offset<1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed1;
break;
}
// 'UImm12Offset2' class
case MCK_UImm12Offset2: {
DiagnosticPredicate DP(Operand.isUImm12Offset<2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed2;
break;
}
// 'UImm12Offset4' class
case MCK_UImm12Offset4: {
DiagnosticPredicate DP(Operand.isUImm12Offset<4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed4;
break;
}
// 'UImm12Offset8' class
case MCK_UImm12Offset8: {
DiagnosticPredicate DP(Operand.isUImm12Offset<8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed8;
break;
}
// 'UImm5s2' class
case MCK_UImm5s2: {
DiagnosticPredicate DP(Operand.isUImmScaled<5, 2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed2UImm5;
break;
}
// 'UImm5s4' class
case MCK_UImm5s4: {
DiagnosticPredicate DP(Operand.isUImmScaled<5, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed4UImm5;
break;
}
// 'UImm5s8' class
case MCK_UImm5s8: {
DiagnosticPredicate DP(Operand.isUImmScaled<5, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed8UImm5;
break;
}
// 'UImm6' class
case MCK_UImm6: {
DiagnosticPredicate DP(Operand.isUImm6());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_63;
break;
}
// 'UImm6s16' class
case MCK_UImm6s16: {
DiagnosticPredicate DP(Operand.isUImmScaled<6, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed16UImm6;
break;
}
// 'UImm6s1' class
case MCK_UImm6s1: {
DiagnosticPredicate DP(Operand.isUImmScaled<6, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed1UImm6;
break;
}
// 'UImm6s2' class
case MCK_UImm6s2: {
DiagnosticPredicate DP(Operand.isUImmScaled<6, 2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed2UImm6;
break;
}
// 'UImm6s4' class
case MCK_UImm6s4: {
DiagnosticPredicate DP(Operand.isUImmScaled<6, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed4UImm6;
break;
}
// 'UImm6s8' class
case MCK_UImm6s8: {
DiagnosticPredicate DP(Operand.isUImmScaled<6, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed8UImm6;
break;
}
// 'VecListFour128' class
case MCK_VecListFour128: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_168' class
case MCK_TypedVectorList4_168: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 16, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_164' class
case MCK_TypedVectorList4_164: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 1, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_264' class
case MCK_TypedVectorList4_264: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 2, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_232' class
case MCK_TypedVectorList4_232: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 2, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_416' class
case MCK_TypedVectorList4_416: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 4, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_432' class
case MCK_TypedVectorList4_432: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 4, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListFour64' class
case MCK_VecListFour64: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 4>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_88' class
case MCK_TypedVectorList4_88: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 8, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_816' class
case MCK_TypedVectorList4_816: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 8, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_08' class
case MCK_TypedVectorList4_08: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_064' class
case MCK_TypedVectorList4_064: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_016' class
case MCK_TypedVectorList4_016: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList4_032' class
case MCK_TypedVectorList4_032: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 4, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListOne128' class
case MCK_VecListOne128: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_168' class
case MCK_TypedVectorList1_168: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 16, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_164' class
case MCK_TypedVectorList1_164: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 1, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_264' class
case MCK_TypedVectorList1_264: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 2, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_232' class
case MCK_TypedVectorList1_232: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 2, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_416' class
case MCK_TypedVectorList1_416: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 4, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_432' class
case MCK_TypedVectorList1_432: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 4, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListOne64' class
case MCK_VecListOne64: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_88' class
case MCK_TypedVectorList1_88: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 8, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_816' class
case MCK_TypedVectorList1_816: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 8, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_08' class
case MCK_TypedVectorList1_08: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_064' class
case MCK_TypedVectorList1_064: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_016' class
case MCK_TypedVectorList1_016: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList1_032' class
case MCK_TypedVectorList1_032: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 1, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListThree128' class
case MCK_VecListThree128: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 3>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_168' class
case MCK_TypedVectorList3_168: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 16, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_164' class
case MCK_TypedVectorList3_164: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 1, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_264' class
case MCK_TypedVectorList3_264: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 2, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_232' class
case MCK_TypedVectorList3_232: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 2, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_416' class
case MCK_TypedVectorList3_416: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 4, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_432' class
case MCK_TypedVectorList3_432: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 4, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListThree64' class
case MCK_VecListThree64: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 3>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_88' class
case MCK_TypedVectorList3_88: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 8, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_816' class
case MCK_TypedVectorList3_816: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 8, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_08' class
case MCK_TypedVectorList3_08: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_064' class
case MCK_TypedVectorList3_064: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_016' class
case MCK_TypedVectorList3_016: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList3_032' class
case MCK_TypedVectorList3_032: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 3, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListTwo128' class
case MCK_VecListTwo128: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_168' class
case MCK_TypedVectorList2_168: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 16, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_164' class
case MCK_TypedVectorList2_164: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 1, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_264' class
case MCK_TypedVectorList2_264: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 2, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_232' class
case MCK_TypedVectorList2_232: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 2, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_416' class
case MCK_TypedVectorList2_416: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 4, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_432' class
case MCK_TypedVectorList2_432: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 4, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VecListTwo64' class
case MCK_VecListTwo64: {
DiagnosticPredicate DP(Operand.isImplicitlyTypedVectorList<RegKind::NeonVector, 2>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_88' class
case MCK_TypedVectorList2_88: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 8, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_816' class
case MCK_TypedVectorList2_816: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 8, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_08' class
case MCK_TypedVectorList2_08: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_064' class
case MCK_TypedVectorList2_064: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_016' class
case MCK_TypedVectorList2_016: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'TypedVectorList2_032' class
case MCK_TypedVectorList2_032: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::NeonVector, 2, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'IndexRange1_1' class
case MCK_IndexRange1_1: {
DiagnosticPredicate DP(Operand.isVectorIndex<1, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidIndexRange1_1;
break;
}
// 'IndexRange0_15' class
case MCK_IndexRange0_15: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 15>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidIndexRange0_15;
break;
}
// 'IndexRange0_1' class
case MCK_IndexRange0_1: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 1>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidIndexRange0_1;
break;
}
// 'IndexRange0_7' class
case MCK_IndexRange0_7: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 7>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidIndexRange0_7;
break;
}
// 'IndexRange0_3' class
case MCK_IndexRange0_3: {
DiagnosticPredicate DP(Operand.isVectorIndex<0, 3>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidIndexRange0_3;
break;
}
// 'VectorReg128' class
case MCK_VectorReg128: {
DiagnosticPredicate DP(Operand.isNeonVectorReg());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VectorReg64' class
case MCK_VectorReg64: {
DiagnosticPredicate DP(Operand.isNeonVectorReg());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'VectorRegLo' class
case MCK_VectorRegLo: {
DiagnosticPredicate DP(Operand.isNeonVectorRegLo());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'WSeqPair' class
case MCK_WSeqPair: {
DiagnosticPredicate DP(Operand.isWSeqPair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'XSeqPair' class
case MCK_XSeqPair: {
DiagnosticPredicate DP(Operand.isXSeqPair());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'ZPRExtendLSL3216' class
case MCK_ZPRExtendLSL3216: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::LSL, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32LSL16;
break;
}
// 'ZPRExtendLSL3232' class
case MCK_ZPRExtendLSL3232: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::LSL, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32LSL32;
break;
}
// 'ZPRExtendLSL3264' class
case MCK_ZPRExtendLSL3264: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::LSL, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32LSL64;
break;
}
// 'ZPRExtendLSL328' class
case MCK_ZPRExtendLSL328: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::LSL, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32LSL8;
break;
}
// 'ZPRExtendSXTW3216' class
case MCK_ZPRExtendSXTW3216: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32SXTW16;
break;
}
// 'ZPRExtendSXTW3232' class
case MCK_ZPRExtendSXTW3232: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32SXTW32;
break;
}
// 'ZPRExtendSXTW3264' class
case MCK_ZPRExtendSXTW3264: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32SXTW64;
break;
}
// 'ZPRExtendSXTW328' class
case MCK_ZPRExtendSXTW328: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32SXTW8;
break;
}
// 'ZPRExtendSXTW328Only' class
case MCK_ZPRExtendSXTW328Only: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 8, true>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32SXTW8;
break;
}
// 'ZPRExtendUXTW3216' class
case MCK_ZPRExtendUXTW3216: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32UXTW16;
break;
}
// 'ZPRExtendUXTW3232' class
case MCK_ZPRExtendUXTW3232: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32UXTW32;
break;
}
// 'ZPRExtendUXTW3264' class
case MCK_ZPRExtendUXTW3264: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32UXTW64;
break;
}
// 'ZPRExtendUXTW328' class
case MCK_ZPRExtendUXTW328: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32UXTW8;
break;
}
// 'ZPRExtendUXTW328Only' class
case MCK_ZPRExtendUXTW328Only: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<32, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 8, true>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32UXTW8;
break;
}
// 'ZPRExtendLSL6416' class
case MCK_ZPRExtendLSL6416: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::LSL, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64LSL16;
break;
}
// 'ZPRExtendLSL6432' class
case MCK_ZPRExtendLSL6432: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::LSL, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64LSL32;
break;
}
// 'ZPRExtendLSL6464' class
case MCK_ZPRExtendLSL6464: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::LSL, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64LSL64;
break;
}
// 'ZPRExtendLSL648' class
case MCK_ZPRExtendLSL648: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::LSL, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64LSL8;
break;
}
// 'ZPRExtendSXTW6416' class
case MCK_ZPRExtendSXTW6416: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64SXTW16;
break;
}
// 'ZPRExtendSXTW6432' class
case MCK_ZPRExtendSXTW6432: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64SXTW32;
break;
}
// 'ZPRExtendSXTW6464' class
case MCK_ZPRExtendSXTW6464: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64SXTW64;
break;
}
// 'ZPRExtendSXTW648' class
case MCK_ZPRExtendSXTW648: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64SXTW8;
break;
}
// 'ZPRExtendSXTW648Only' class
case MCK_ZPRExtendSXTW648Only: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::SXTW, 8, true>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64SXTW8;
break;
}
// 'ZPRExtendUXTW6416' class
case MCK_ZPRExtendUXTW6416: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 16, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64UXTW16;
break;
}
// 'ZPRExtendUXTW6432' class
case MCK_ZPRExtendUXTW6432: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 32, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64UXTW32;
break;
}
// 'ZPRExtendUXTW6464' class
case MCK_ZPRExtendUXTW6464: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 64, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64UXTW64;
break;
}
// 'ZPRExtendUXTW648' class
case MCK_ZPRExtendUXTW648: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 8, false>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64UXTW8;
break;
}
// 'ZPRExtendUXTW648Only' class
case MCK_ZPRExtendUXTW648Only: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegWithShiftExtend<64, AArch64::ZPRRegClassID, AArch64_AM::UXTW, 8, true>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64UXTW8;
break;
}
// 'SVEVectorQReg' class
case MCK_SVEVectorQReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<128, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR128;
break;
}
// 'SVEVectorHReg' class
case MCK_SVEVectorHReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<16, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR16;
break;
}
// 'SVEVectorSReg' class
case MCK_SVEVectorSReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<32, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR32;
break;
}
// 'SVEVector3bHReg' class
case MCK_SVEVector3bHReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<16, AArch64::ZPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_3b16;
break;
}
// 'SVEVector3bSReg' class
case MCK_SVEVector3bSReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<32, AArch64::ZPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_3b32;
break;
}
// 'SVEVector3bBReg' class
case MCK_SVEVector3bBReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<8, AArch64::ZPR_3bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_3b8;
break;
}
// 'SVEVector4bHReg' class
case MCK_SVEVector4bHReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<16, AArch64::ZPR_4bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_4b16;
break;
}
// 'SVEVector4bSReg' class
case MCK_SVEVector4bSReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<32, AArch64::ZPR_4bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_4b32;
break;
}
// 'SVEVector4bDReg' class
case MCK_SVEVector4bDReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<64, AArch64::ZPR_4bRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR_4b64;
break;
}
// 'SVEVectorDReg' class
case MCK_SVEVectorDReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<64, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR64;
break;
}
// 'SVEVectorBReg' class
case MCK_SVEVectorBReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<8, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR8;
break;
}
// 'SVEVectorAnyReg' class
case MCK_SVEVectorAnyReg: {
DiagnosticPredicate DP(Operand.isSVEDataVectorRegOfWidth<0, AArch64::ZPRRegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidZPR0;
break;
}
// 'FPRAsmOperandFPR8' class
case MCK_FPRAsmOperandFPR8: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::FPR8RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPRAsmOperandFPR16' class
case MCK_FPRAsmOperandFPR16: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::FPR16RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPRAsmOperandFPR32' class
case MCK_FPRAsmOperandFPR32: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::FPR32RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPRAsmOperandFPR64' class
case MCK_FPRAsmOperandFPR64: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::FPR64RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPRAsmOperandFPR128' class
case MCK_FPRAsmOperandFPR128: {
DiagnosticPredicate DP(Operand.isGPR64<AArch64::FPR128RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPR8asZPR' class
case MCK_FPR8asZPR: {
DiagnosticPredicate DP(Operand.isFPRasZPR<AArch64::FPR8RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPR16asZPR' class
case MCK_FPR16asZPR: {
DiagnosticPredicate DP(Operand.isFPRasZPR<AArch64::FPR16RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPR32asZPR' class
case MCK_FPR32asZPR: {
DiagnosticPredicate DP(Operand.isFPRasZPR<AArch64::FPR32RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPR64asZPR' class
case MCK_FPR64asZPR: {
DiagnosticPredicate DP(Operand.isFPRasZPR<AArch64::FPR64RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'FPR128asZPR' class
case MCK_FPR128asZPR: {
DiagnosticPredicate DP(Operand.isFPRasZPR<AArch64::FPR128RegClassID>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList18' class
case MCK_SVEVectorList18: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 1, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList116' class
case MCK_SVEVectorList116: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 1, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList132' class
case MCK_SVEVectorList132: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 1, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList164' class
case MCK_SVEVectorList164: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 1, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList28' class
case MCK_SVEVectorList28: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 2, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList216' class
case MCK_SVEVectorList216: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 2, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList232' class
case MCK_SVEVectorList232: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 2, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList264' class
case MCK_SVEVectorList264: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 2, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList38' class
case MCK_SVEVectorList38: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 3, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList316' class
case MCK_SVEVectorList316: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 3, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList332' class
case MCK_SVEVectorList332: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 3, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList364' class
case MCK_SVEVectorList364: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 3, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList48' class
case MCK_SVEVectorList48: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 4, 0, 8>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList416' class
case MCK_SVEVectorList416: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 4, 0, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList432' class
case MCK_SVEVectorList432: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 4, 0, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEVectorList464' class
case MCK_SVEVectorList464: {
DiagnosticPredicate DP(Operand.isTypedVectorList<RegKind::SVEDataVector, 4, 0, 64>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SImm9s16' class
case MCK_SImm9s16: {
DiagnosticPredicate DP(Operand.isSImmScaled<9, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidMemoryIndexed16SImm9;
break;
}
// 'Imm0_65535' class
case MCK_Imm0_65535: {
DiagnosticPredicate DP(Operand.isImmInRange<0,65535>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidImm0_65535;
break;
}
// 'ComplexRotationEven' class
case MCK_ComplexRotationEven: {
DiagnosticPredicate DP(Operand.isComplexRotation<90, 0>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidComplexRotationEven;
break;
}
// 'ComplexRotationOdd' class
case MCK_ComplexRotationOdd: {
DiagnosticPredicate DP(Operand.isComplexRotation<180, 90>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidComplexRotationOdd;
break;
}
// 'SVELogicalImm8' class
case MCK_SVELogicalImm8: {
DiagnosticPredicate DP(Operand.isLogicalImm<int8_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVELogicalImm16' class
case MCK_SVELogicalImm16: {
DiagnosticPredicate DP(Operand.isLogicalImm<int16_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVELogicalImm32' class
case MCK_SVELogicalImm32: {
DiagnosticPredicate DP(Operand.isLogicalImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVEPreferredLogicalImm16' class
case MCK_SVEPreferredLogicalImm16: {
DiagnosticPredicate DP(Operand.isSVEPreferredLogicalImm<int16_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEPreferredLogicalImm32' class
case MCK_SVEPreferredLogicalImm32: {
DiagnosticPredicate DP(Operand.isSVEPreferredLogicalImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVEPreferredLogicalImm64' class
case MCK_SVEPreferredLogicalImm64: {
DiagnosticPredicate DP(Operand.isSVEPreferredLogicalImm<int64_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'SVELogicalImm8Not' class
case MCK_SVELogicalImm8Not: {
DiagnosticPredicate DP(Operand.isLogicalImm<int8_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVELogicalImm16Not' class
case MCK_SVELogicalImm16Not: {
DiagnosticPredicate DP(Operand.isLogicalImm<int16_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVELogicalImm32Not' class
case MCK_SVELogicalImm32Not: {
DiagnosticPredicate DP(Operand.isLogicalImm<int32_t>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_LogicalSecondSource;
break;
}
// 'SVEExactFPImmOperandHalfOne' class
case MCK_SVEExactFPImmOperandHalfOne: {
DiagnosticPredicate DP(Operand.isExactFPImm<AArch64ExactFPImm::half, AArch64ExactFPImm::one>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEExactFPImmOperandHalfOne;
break;
}
// 'SVEExactFPImmOperandHalfTwo' class
case MCK_SVEExactFPImmOperandHalfTwo: {
DiagnosticPredicate DP(Operand.isExactFPImm<AArch64ExactFPImm::half, AArch64ExactFPImm::two>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEExactFPImmOperandHalfTwo;
break;
}
// 'SVEExactFPImmOperandZeroOne' class
case MCK_SVEExactFPImmOperandZeroOne: {
DiagnosticPredicate DP(Operand.isExactFPImm<AArch64ExactFPImm::zero, AArch64ExactFPImm::one>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
if (DP.isNearMatch())
return AArch64AsmParser::Match_InvalidSVEExactFPImmOperandZeroOne;
break;
}
// 'MOVZ32_lsl0MovAlias' class
case MCK_MOVZ32_lsl0MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<32, 0>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVZ32_lsl16MovAlias' class
case MCK_MOVZ32_lsl16MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<32, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVZ64_lsl0MovAlias' class
case MCK_MOVZ64_lsl0MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<64, 0>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVZ64_lsl16MovAlias' class
case MCK_MOVZ64_lsl16MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<64, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVZ64_lsl32MovAlias' class
case MCK_MOVZ64_lsl32MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<64, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVZ64_lsl48MovAlias' class
case MCK_MOVZ64_lsl48MovAlias: {
DiagnosticPredicate DP(Operand.isMOVZMovAlias<64, 48>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN32_lsl0MovAlias' class
case MCK_MOVN32_lsl0MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<32, 0>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN32_lsl16MovAlias' class
case MCK_MOVN32_lsl16MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<32, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN64_lsl0MovAlias' class
case MCK_MOVN64_lsl0MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<64, 0>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN64_lsl16MovAlias' class
case MCK_MOVN64_lsl16MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<64, 16>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN64_lsl32MovAlias' class
case MCK_MOVN64_lsl32MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<64, 32>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
// 'MOVN64_lsl48MovAlias' class
case MCK_MOVN64_lsl48MovAlias: {
DiagnosticPredicate DP(Operand.isMOVNMovAlias<64, 48>());
if (DP.isMatch())
return MCTargetAsmParser::Match_Success;
break;
}
} // end switch (Kind)
if (Operand.isReg()) {
MatchClassKind OpKind;
switch (Operand.getReg()) {
default: OpKind = InvalidMatchClass; break;
case AArch64::W0: OpKind = MCK_GPR32arg; break;
case AArch64::W1: OpKind = MCK_GPR32arg; break;
case AArch64::W2: OpKind = MCK_GPR32arg; break;
case AArch64::W3: OpKind = MCK_GPR32arg; break;
case AArch64::W4: OpKind = MCK_GPR32arg; break;
case AArch64::W5: OpKind = MCK_GPR32arg; break;
case AArch64::W6: OpKind = MCK_GPR32arg; break;
case AArch64::W7: OpKind = MCK_GPR32arg; break;
case AArch64::W8: OpKind = MCK_GPR32common; break;
case AArch64::W9: OpKind = MCK_GPR32common; break;
case AArch64::W10: OpKind = MCK_GPR32common; break;
case AArch64::W11: OpKind = MCK_GPR32common; break;
case AArch64::W12: OpKind = MCK_GPR32common; break;
case AArch64::W13: OpKind = MCK_GPR32common; break;
case AArch64::W14: OpKind = MCK_GPR32common; break;
case AArch64::W15: OpKind = MCK_GPR32common; break;
case AArch64::W16: OpKind = MCK_GPR32common; break;
case AArch64::W17: OpKind = MCK_GPR32common; break;
case AArch64::W18: OpKind = MCK_GPR32common; break;
case AArch64::W19: OpKind = MCK_GPR32common; break;
case AArch64::W20: OpKind = MCK_GPR32common; break;
case AArch64::W21: OpKind = MCK_GPR32common; break;
case AArch64::W22: OpKind = MCK_GPR32common; break;
case AArch64::W23: OpKind = MCK_GPR32common; break;
case AArch64::W24: OpKind = MCK_GPR32common; break;
case AArch64::W25: OpKind = MCK_GPR32common; break;
case AArch64::W26: OpKind = MCK_GPR32common; break;
case AArch64::W27: OpKind = MCK_GPR32common; break;
case AArch64::W28: OpKind = MCK_GPR32common; break;
case AArch64::W29: OpKind = MCK_GPR32common; break;
case AArch64::W30: OpKind = MCK_GPR32common; break;
case AArch64::WSP: OpKind = MCK_GPR32sponly; break;
case AArch64::WZR: OpKind = MCK_GPR32; break;
case AArch64::X0: OpKind = MCK_GPR64arg; break;
case AArch64::X1: OpKind = MCK_GPR64arg; break;
case AArch64::X2: OpKind = MCK_GPR64arg; break;
case AArch64::X3: OpKind = MCK_GPR64arg; break;
case AArch64::X4: OpKind = MCK_GPR64arg; break;
case AArch64::X5: OpKind = MCK_GPR64arg; break;
case AArch64::X6: OpKind = MCK_GPR64arg; break;
case AArch64::X7: OpKind = MCK_GPR64arg; break;
case AArch64::X8: OpKind = MCK_Reg12; break;
case AArch64::X9: OpKind = MCK_Reg12; break;
case AArch64::X10: OpKind = MCK_Reg12; break;
case AArch64::X11: OpKind = MCK_Reg12; break;
case AArch64::X12: OpKind = MCK_Reg12; break;
case AArch64::X13: OpKind = MCK_Reg12; break;
case AArch64::X14: OpKind = MCK_Reg12; break;
case AArch64::X15: OpKind = MCK_Reg12; break;
case AArch64::X16: OpKind = MCK_rtcGPR64; break;
case AArch64::X17: OpKind = MCK_rtcGPR64; break;
case AArch64::X18: OpKind = MCK_Reg12; break;
case AArch64::X19: OpKind = MCK_Reg13; break;
case AArch64::X20: OpKind = MCK_Reg13; break;
case AArch64::X21: OpKind = MCK_Reg13; break;
case AArch64::X22: OpKind = MCK_Reg13; break;
case AArch64::X23: OpKind = MCK_Reg13; break;
case AArch64::X24: OpKind = MCK_Reg13; break;
case AArch64::X25: OpKind = MCK_Reg13; break;
case AArch64::X26: OpKind = MCK_Reg13; break;
case AArch64::X27: OpKind = MCK_Reg13; break;
case AArch64::X28: OpKind = MCK_Reg13; break;
case AArch64::FP: OpKind = MCK_Reg13; break;
case AArch64::LR: OpKind = MCK_GPR64common; break;
case AArch64::SP: OpKind = MCK_GPR64sponly; break;
case AArch64::XZR: OpKind = MCK_GPR64noip; break;
case AArch64::NZCV: OpKind = MCK_CCR; break;
case AArch64::B0: OpKind = MCK_FPR8; break;
case AArch64::B1: OpKind = MCK_FPR8; break;
case AArch64::B2: OpKind = MCK_FPR8; break;
case AArch64::B3: OpKind = MCK_FPR8; break;
case AArch64::B4: OpKind = MCK_FPR8; break;
case AArch64::B5: OpKind = MCK_FPR8; break;
case AArch64::B6: OpKind = MCK_FPR8; break;
case AArch64::B7: OpKind = MCK_FPR8; break;
case AArch64::B8: OpKind = MCK_FPR8; break;
case AArch64::B9: OpKind = MCK_FPR8; break;
case AArch64::B10: OpKind = MCK_FPR8; break;
case AArch64::B11: OpKind = MCK_FPR8; break;
case AArch64::B12: OpKind = MCK_FPR8; break;
case AArch64::B13: OpKind = MCK_FPR8; break;
case AArch64::B14: OpKind = MCK_FPR8; break;
case AArch64::B15: OpKind = MCK_FPR8; break;
case AArch64::B16: OpKind = MCK_FPR8; break;
case AArch64::B17: OpKind = MCK_FPR8; break;
case AArch64::B18: OpKind = MCK_FPR8; break;
case AArch64::B19: OpKind = MCK_FPR8; break;
case AArch64::B20: OpKind = MCK_FPR8; break;
case AArch64::B21: OpKind = MCK_FPR8; break;
case AArch64::B22: OpKind = MCK_FPR8; break;
case AArch64::B23: OpKind = MCK_FPR8; break;
case AArch64::B24: OpKind = MCK_FPR8; break;
case AArch64::B25: OpKind = MCK_FPR8; break;
case AArch64::B26: OpKind = MCK_FPR8; break;
case AArch64::B27: OpKind = MCK_FPR8; break;
case AArch64::B28: OpKind = MCK_FPR8; break;
case AArch64::B29: OpKind = MCK_FPR8; break;
case AArch64::B30: OpKind = MCK_FPR8; break;
case AArch64::B31: OpKind = MCK_FPR8; break;
case AArch64::H0: OpKind = MCK_FPR16; break;
case AArch64::H1: OpKind = MCK_FPR16; break;
case AArch64::H2: OpKind = MCK_FPR16; break;
case AArch64::H3: OpKind = MCK_FPR16; break;
case AArch64::H4: OpKind = MCK_FPR16; break;
case AArch64::H5: OpKind = MCK_FPR16; break;
case AArch64::H6: OpKind = MCK_FPR16; break;
case AArch64::H7: OpKind = MCK_FPR16; break;
case AArch64::H8: OpKind = MCK_FPR16; break;
case AArch64::H9: OpKind = MCK_FPR16; break;
case AArch64::H10: OpKind = MCK_FPR16; break;
case AArch64::H11: OpKind = MCK_FPR16; break;
case AArch64::H12: OpKind = MCK_FPR16; break;
case AArch64::H13: OpKind = MCK_FPR16; break;
case AArch64::H14: OpKind = MCK_FPR16; break;
case AArch64::H15: OpKind = MCK_FPR16; break;
case AArch64::H16: OpKind = MCK_FPR16; break;
case AArch64::H17: OpKind = MCK_FPR16; break;
case AArch64::H18: OpKind = MCK_FPR16; break;
case AArch64::H19: OpKind = MCK_FPR16; break;
case AArch64::H20: OpKind = MCK_FPR16; break;
case AArch64::H21: OpKind = MCK_FPR16; break;
case AArch64::H22: OpKind = MCK_FPR16; break;
case AArch64::H23: OpKind = MCK_FPR16; break;
case AArch64::H24: OpKind = MCK_FPR16; break;
case AArch64::H25: OpKind = MCK_FPR16; break;
case AArch64::H26: OpKind = MCK_FPR16; break;
case AArch64::H27: OpKind = MCK_FPR16; break;
case AArch64::H28: OpKind = MCK_FPR16; break;
case AArch64::H29: OpKind = MCK_FPR16; break;
case AArch64::H30: OpKind = MCK_FPR16; break;
case AArch64::H31: OpKind = MCK_FPR16; break;
case AArch64::S0: OpKind = MCK_FPR32; break;
case AArch64::S1: OpKind = MCK_FPR32; break;
case AArch64::S2: OpKind = MCK_FPR32; break;
case AArch64::S3: OpKind = MCK_FPR32; break;
case AArch64::S4: OpKind = MCK_FPR32; break;
case AArch64::S5: OpKind = MCK_FPR32; break;
case AArch64::S6: OpKind = MCK_FPR32; break;
case AArch64::S7: OpKind = MCK_FPR32; break;
case AArch64::S8: OpKind = MCK_FPR32; break;
case AArch64::S9: OpKind = MCK_FPR32; break;
case AArch64::S10: OpKind = MCK_FPR32; break;
case AArch64::S11: OpKind = MCK_FPR32; break;
case AArch64::S12: OpKind = MCK_FPR32; break;
case AArch64::S13: OpKind = MCK_FPR32; break;
case AArch64::S14: OpKind = MCK_FPR32; break;
case AArch64::S15: OpKind = MCK_FPR32; break;
case AArch64::S16: OpKind = MCK_FPR32; break;
case AArch64::S17: OpKind = MCK_FPR32; break;
case AArch64::S18: OpKind = MCK_FPR32; break;
case AArch64::S19: OpKind = MCK_FPR32; break;
case AArch64::S20: OpKind = MCK_FPR32; break;
case AArch64::S21: OpKind = MCK_FPR32; break;
case AArch64::S22: OpKind = MCK_FPR32; break;
case AArch64::S23: OpKind = MCK_FPR32; break;
case AArch64::S24: OpKind = MCK_FPR32; break;
case AArch64::S25: OpKind = MCK_FPR32; break;
case AArch64::S26: OpKind = MCK_FPR32; break;
case AArch64::S27: OpKind = MCK_FPR32; break;
case AArch64::S28: OpKind = MCK_FPR32; break;
case AArch64::S29: OpKind = MCK_FPR32; break;
case AArch64::S30: OpKind = MCK_FPR32; break;
case AArch64::S31: OpKind = MCK_FPR32; break;
case AArch64::D0: OpKind = MCK_FPR64; break;
case AArch64::D1: OpKind = MCK_FPR64; break;
case AArch64::D2: OpKind = MCK_FPR64; break;
case AArch64::D3: OpKind = MCK_FPR64; break;
case AArch64::D4: OpKind = MCK_FPR64; break;
case AArch64::D5: OpKind = MCK_FPR64; break;
case AArch64::D6: OpKind = MCK_FPR64; break;
case AArch64::D7: OpKind = MCK_FPR64; break;
case AArch64::D8: OpKind = MCK_FPR64; break;
case AArch64::D9: OpKind = MCK_FPR64; break;
case AArch64::D10: OpKind = MCK_FPR64; break;
case AArch64::D11: OpKind = MCK_FPR64; break;
case AArch64::D12: OpKind = MCK_FPR64; break;
case AArch64::D13: OpKind = MCK_FPR64; break;
case AArch64::D14: OpKind = MCK_FPR64; break;
case AArch64::D15: OpKind = MCK_FPR64; break;
case AArch64::D16: OpKind = MCK_FPR64; break;
case AArch64::D17: OpKind = MCK_FPR64; break;
case AArch64::D18: OpKind = MCK_FPR64; break;
case AArch64::D19: OpKind = MCK_FPR64; break;
case AArch64::D20: OpKind = MCK_FPR64; break;
case AArch64::D21: OpKind = MCK_FPR64; break;
case AArch64::D22: OpKind = MCK_FPR64; break;
case AArch64::D23: OpKind = MCK_FPR64; break;
case AArch64::D24: OpKind = MCK_FPR64; break;
case AArch64::D25: OpKind = MCK_FPR64; break;
case AArch64::D26: OpKind = MCK_FPR64; break;
case AArch64::D27: OpKind = MCK_FPR64; break;
case AArch64::D28: OpKind = MCK_FPR64; break;
case AArch64::D29: OpKind = MCK_FPR64; break;
case AArch64::D30: OpKind = MCK_FPR64; break;
case AArch64::D31: OpKind = MCK_FPR64; break;
case AArch64::Q0: OpKind = MCK_FPR128_lo; break;
case AArch64::Q1: OpKind = MCK_FPR128_lo; break;
case AArch64::Q2: OpKind = MCK_FPR128_lo; break;
case AArch64::Q3: OpKind = MCK_FPR128_lo; break;
case AArch64::Q4: OpKind = MCK_FPR128_lo; break;
case AArch64::Q5: OpKind = MCK_FPR128_lo; break;
case AArch64::Q6: OpKind = MCK_FPR128_lo; break;
case AArch64::Q7: OpKind = MCK_FPR128_lo; break;
case AArch64::Q8: OpKind = MCK_FPR128_lo; break;
case AArch64::Q9: OpKind = MCK_FPR128_lo; break;
case AArch64::Q10: OpKind = MCK_FPR128_lo; break;
case AArch64::Q11: OpKind = MCK_FPR128_lo; break;
case AArch64::Q12: OpKind = MCK_FPR128_lo; break;
case AArch64::Q13: OpKind = MCK_FPR128_lo; break;
case AArch64::Q14: OpKind = MCK_FPR128_lo; break;
case AArch64::Q15: OpKind = MCK_FPR128_lo; break;
case AArch64::Q16: OpKind = MCK_FPR128; break;
case AArch64::Q17: OpKind = MCK_FPR128; break;
case AArch64::Q18: OpKind = MCK_FPR128; break;
case AArch64::Q19: OpKind = MCK_FPR128; break;
case AArch64::Q20: OpKind = MCK_FPR128; break;
case AArch64::Q21: OpKind = MCK_FPR128; break;
case AArch64::Q22: OpKind = MCK_FPR128; break;
case AArch64::Q23: OpKind = MCK_FPR128; break;
case AArch64::Q24: OpKind = MCK_FPR128; break;
case AArch64::Q25: OpKind = MCK_FPR128; break;
case AArch64::Q26: OpKind = MCK_FPR128; break;
case AArch64::Q27: OpKind = MCK_FPR128; break;
case AArch64::Q28: OpKind = MCK_FPR128; break;
case AArch64::Q29: OpKind = MCK_FPR128; break;
case AArch64::Q30: OpKind = MCK_FPR128; break;
case AArch64::Q31: OpKind = MCK_FPR128; break;
case AArch64::P0: OpKind = MCK_PPR_3b; break;
case AArch64::P1: OpKind = MCK_PPR_3b; break;
case AArch64::P2: OpKind = MCK_PPR_3b; break;
case AArch64::P3: OpKind = MCK_PPR_3b; break;
case AArch64::P4: OpKind = MCK_PPR_3b; break;
case AArch64::P5: OpKind = MCK_PPR_3b; break;
case AArch64::P6: OpKind = MCK_PPR_3b; break;
case AArch64::P7: OpKind = MCK_PPR_3b; break;
case AArch64::P8: OpKind = MCK_PPR; break;
case AArch64::P9: OpKind = MCK_PPR; break;
case AArch64::P10: OpKind = MCK_PPR; break;
case AArch64::P11: OpKind = MCK_PPR; break;
case AArch64::P12: OpKind = MCK_PPR; break;
case AArch64::P13: OpKind = MCK_PPR; break;
case AArch64::P14: OpKind = MCK_PPR; break;
case AArch64::P15: OpKind = MCK_PPR; break;
case AArch64::Z0: OpKind = MCK_ZPR_3b; break;
case AArch64::Z1: OpKind = MCK_ZPR_3b; break;
case AArch64::Z2: OpKind = MCK_ZPR_3b; break;
case AArch64::Z3: OpKind = MCK_ZPR_3b; break;
case AArch64::Z4: OpKind = MCK_ZPR_3b; break;
case AArch64::Z5: OpKind = MCK_ZPR_3b; break;
case AArch64::Z6: OpKind = MCK_ZPR_3b; break;
case AArch64::Z7: OpKind = MCK_ZPR_3b; break;
case AArch64::Z8: OpKind = MCK_ZPR_4b; break;
case AArch64::Z9: OpKind = MCK_ZPR_4b; break;
case AArch64::Z10: OpKind = MCK_ZPR_4b; break;
case AArch64::Z11: OpKind = MCK_ZPR_4b; break;
case AArch64::Z12: OpKind = MCK_ZPR_4b; break;
case AArch64::Z13: OpKind = MCK_ZPR_4b; break;
case AArch64::Z14: OpKind = MCK_ZPR_4b; break;
case AArch64::Z15: OpKind = MCK_ZPR_4b; break;
case AArch64::Z16: OpKind = MCK_ZPR; break;
case AArch64::Z17: OpKind = MCK_ZPR; break;
case AArch64::Z18: OpKind = MCK_ZPR; break;
case AArch64::Z19: OpKind = MCK_ZPR; break;
case AArch64::Z20: OpKind = MCK_ZPR; break;
case AArch64::Z21: OpKind = MCK_ZPR; break;
case AArch64::Z22: OpKind = MCK_ZPR; break;
case AArch64::Z23: OpKind = MCK_ZPR; break;
case AArch64::Z24: OpKind = MCK_ZPR; break;
case AArch64::Z25: OpKind = MCK_ZPR; break;
case AArch64::Z26: OpKind = MCK_ZPR; break;
case AArch64::Z27: OpKind = MCK_ZPR; break;
case AArch64::Z28: OpKind = MCK_ZPR; break;
case AArch64::Z29: OpKind = MCK_ZPR; break;
case AArch64::Z30: OpKind = MCK_ZPR; break;
case AArch64::Z31: OpKind = MCK_ZPR; break;
case AArch64::D0_D1: OpKind = MCK_DD; break;
case AArch64::D1_D2: OpKind = MCK_DD; break;
case AArch64::D2_D3: OpKind = MCK_DD; break;
case AArch64::D3_D4: OpKind = MCK_DD; break;
case AArch64::D4_D5: OpKind = MCK_DD; break;
case AArch64::D5_D6: OpKind = MCK_DD; break;
case AArch64::D6_D7: OpKind = MCK_DD; break;
case AArch64::D7_D8: OpKind = MCK_DD; break;
case AArch64::D8_D9: OpKind = MCK_DD; break;
case AArch64::D9_D10: OpKind = MCK_DD; break;
case AArch64::D10_D11: OpKind = MCK_DD; break;
case AArch64::D11_D12: OpKind = MCK_DD; break;
case AArch64::D12_D13: OpKind = MCK_DD; break;
case AArch64::D13_D14: OpKind = MCK_DD; break;
case AArch64::D14_D15: OpKind = MCK_DD; break;
case AArch64::D15_D16: OpKind = MCK_DD; break;
case AArch64::D16_D17: OpKind = MCK_DD; break;
case AArch64::D17_D18: OpKind = MCK_DD; break;
case AArch64::D18_D19: OpKind = MCK_DD; break;
case AArch64::D19_D20: OpKind = MCK_DD; break;
case AArch64::D20_D21: OpKind = MCK_DD; break;
case AArch64::D21_D22: OpKind = MCK_DD; break;
case AArch64::D22_D23: OpKind = MCK_DD; break;
case AArch64::D23_D24: OpKind = MCK_DD; break;
case AArch64::D24_D25: OpKind = MCK_DD; break;
case AArch64::D25_D26: OpKind = MCK_DD; break;
case AArch64::D26_D27: OpKind = MCK_DD; break;
case AArch64::D27_D28: OpKind = MCK_DD; break;
case AArch64::D28_D29: OpKind = MCK_DD; break;
case AArch64::D29_D30: OpKind = MCK_DD; break;
case AArch64::D30_D31: OpKind = MCK_DD; break;
case AArch64::D31_D0: OpKind = MCK_DD; break;
case AArch64::D0_D1_D2_D3: OpKind = MCK_DDDD; break;
case AArch64::D1_D2_D3_D4: OpKind = MCK_DDDD; break;
case AArch64::D2_D3_D4_D5: OpKind = MCK_DDDD; break;
case AArch64::D3_D4_D5_D6: OpKind = MCK_DDDD; break;
case AArch64::D4_D5_D6_D7: OpKind = MCK_DDDD; break;
case AArch64::D5_D6_D7_D8: OpKind = MCK_DDDD; break;
case AArch64::D6_D7_D8_D9: OpKind = MCK_DDDD; break;
case AArch64::D7_D8_D9_D10: OpKind = MCK_DDDD; break;
case AArch64::D8_D9_D10_D11: OpKind = MCK_DDDD; break;
case AArch64::D9_D10_D11_D12: OpKind = MCK_DDDD; break;
case AArch64::D10_D11_D12_D13: OpKind = MCK_DDDD; break;
case AArch64::D11_D12_D13_D14: OpKind = MCK_DDDD; break;
case AArch64::D12_D13_D14_D15: OpKind = MCK_DDDD; break;
case AArch64::D13_D14_D15_D16: OpKind = MCK_DDDD; break;
case AArch64::D14_D15_D16_D17: OpKind = MCK_DDDD; break;
case AArch64::D15_D16_D17_D18: OpKind = MCK_DDDD; break;
case AArch64::D16_D17_D18_D19: OpKind = MCK_DDDD; break;
case AArch64::D17_D18_D19_D20: OpKind = MCK_DDDD; break;
case AArch64::D18_D19_D20_D21: OpKind = MCK_DDDD; break;
case AArch64::D19_D20_D21_D22: OpKind = MCK_DDDD; break;
case AArch64::D20_D21_D22_D23: OpKind = MCK_DDDD; break;
case AArch64::D21_D22_D23_D24: OpKind = MCK_DDDD; break;
case AArch64::D22_D23_D24_D25: OpKind = MCK_DDDD; break;
case AArch64::D23_D24_D25_D26: OpKind = MCK_DDDD; break;
case AArch64::D24_D25_D26_D27: OpKind = MCK_DDDD; break;
case AArch64::D25_D26_D27_D28: OpKind = MCK_DDDD; break;
case AArch64::D26_D27_D28_D29: OpKind = MCK_DDDD; break;
case AArch64::D27_D28_D29_D30: OpKind = MCK_DDDD; break;
case AArch64::D28_D29_D30_D31: OpKind = MCK_DDDD; break;
case AArch64::D29_D30_D31_D0: OpKind = MCK_DDDD; break;
case AArch64::D30_D31_D0_D1: OpKind = MCK_DDDD; break;
case AArch64::D31_D0_D1_D2: OpKind = MCK_DDDD; break;
case AArch64::D0_D1_D2: OpKind = MCK_DDD; break;
case AArch64::D1_D2_D3: OpKind = MCK_DDD; break;
case AArch64::D2_D3_D4: OpKind = MCK_DDD; break;
case AArch64::D3_D4_D5: OpKind = MCK_DDD; break;
case AArch64::D4_D5_D6: OpKind = MCK_DDD; break;
case AArch64::D5_D6_D7: OpKind = MCK_DDD; break;
case AArch64::D6_D7_D8: OpKind = MCK_DDD; break;
case AArch64::D7_D8_D9: OpKind = MCK_DDD; break;
case AArch64::D8_D9_D10: OpKind = MCK_DDD; break;
case AArch64::D9_D10_D11: OpKind = MCK_DDD; break;
case AArch64::D10_D11_D12: OpKind = MCK_DDD; break;
case AArch64::D11_D12_D13: OpKind = MCK_DDD; break;
case AArch64::D12_D13_D14: OpKind = MCK_DDD; break;
case AArch64::D13_D14_D15: OpKind = MCK_DDD; break;
case AArch64::D14_D15_D16: OpKind = MCK_DDD; break;
case AArch64::D15_D16_D17: OpKind = MCK_DDD; break;
case AArch64::D16_D17_D18: OpKind = MCK_DDD; break;
case AArch64::D17_D18_D19: OpKind = MCK_DDD; break;
case AArch64::D18_D19_D20: OpKind = MCK_DDD; break;
case AArch64::D19_D20_D21: OpKind = MCK_DDD; break;
case AArch64::D20_D21_D22: OpKind = MCK_DDD; break;
case AArch64::D21_D22_D23: OpKind = MCK_DDD; break;
case AArch64::D22_D23_D24: OpKind = MCK_DDD; break;
case AArch64::D23_D24_D25: OpKind = MCK_DDD; break;
case AArch64::D24_D25_D26: OpKind = MCK_DDD; break;
case AArch64::D25_D26_D27: OpKind = MCK_DDD; break;
case AArch64::D26_D27_D28: OpKind = MCK_DDD; break;
case AArch64::D27_D28_D29: OpKind = MCK_DDD; break;
case AArch64::D28_D29_D30: OpKind = MCK_DDD; break;
case AArch64::D29_D30_D31: OpKind = MCK_DDD; break;
case AArch64::D30_D31_D0: OpKind = MCK_DDD; break;
case AArch64::D31_D0_D1: OpKind = MCK_DDD; break;
case AArch64::Q0_Q1: OpKind = MCK_Reg32; break;
case AArch64::Q1_Q2: OpKind = MCK_Reg32; break;
case AArch64::Q2_Q3: OpKind = MCK_Reg32; break;
case AArch64::Q3_Q4: OpKind = MCK_Reg32; break;
case AArch64::Q4_Q5: OpKind = MCK_Reg32; break;
case AArch64::Q5_Q6: OpKind = MCK_Reg32; break;
case AArch64::Q6_Q7: OpKind = MCK_Reg32; break;
case AArch64::Q7_Q8: OpKind = MCK_Reg32; break;
case AArch64::Q8_Q9: OpKind = MCK_Reg32; break;
case AArch64::Q9_Q10: OpKind = MCK_Reg32; break;
case AArch64::Q10_Q11: OpKind = MCK_Reg32; break;
case AArch64::Q11_Q12: OpKind = MCK_Reg32; break;
case AArch64::Q12_Q13: OpKind = MCK_Reg32; break;
case AArch64::Q13_Q14: OpKind = MCK_Reg32; break;
case AArch64::Q14_Q15: OpKind = MCK_Reg32; break;
case AArch64::Q15_Q16: OpKind = MCK_Reg33; break;
case AArch64::Q16_Q17: OpKind = MCK_QQ; break;
case AArch64::Q17_Q18: OpKind = MCK_QQ; break;
case AArch64::Q18_Q19: OpKind = MCK_QQ; break;
case AArch64::Q19_Q20: OpKind = MCK_QQ; break;
case AArch64::Q20_Q21: OpKind = MCK_QQ; break;
case AArch64::Q21_Q22: OpKind = MCK_QQ; break;
case AArch64::Q22_Q23: OpKind = MCK_QQ; break;
case AArch64::Q23_Q24: OpKind = MCK_QQ; break;
case AArch64::Q24_Q25: OpKind = MCK_QQ; break;
case AArch64::Q25_Q26: OpKind = MCK_QQ; break;
case AArch64::Q26_Q27: OpKind = MCK_QQ; break;
case AArch64::Q27_Q28: OpKind = MCK_QQ; break;
case AArch64::Q28_Q29: OpKind = MCK_QQ; break;
case AArch64::Q29_Q30: OpKind = MCK_QQ; break;
case AArch64::Q30_Q31: OpKind = MCK_QQ; break;
case AArch64::Q31_Q0: OpKind = MCK_Reg35; break;
case AArch64::Q0_Q1_Q2_Q3: OpKind = MCK_Reg36; break;
case AArch64::Q1_Q2_Q3_Q4: OpKind = MCK_Reg36; break;
case AArch64::Q2_Q3_Q4_Q5: OpKind = MCK_Reg36; break;
case AArch64::Q3_Q4_Q5_Q6: OpKind = MCK_Reg36; break;
case AArch64::Q4_Q5_Q6_Q7: OpKind = MCK_Reg36; break;
case AArch64::Q5_Q6_Q7_Q8: OpKind = MCK_Reg36; break;
case AArch64::Q6_Q7_Q8_Q9: OpKind = MCK_Reg36; break;
case AArch64::Q7_Q8_Q9_Q10: OpKind = MCK_Reg36; break;
case AArch64::Q8_Q9_Q10_Q11: OpKind = MCK_Reg36; break;
case AArch64::Q9_Q10_Q11_Q12: OpKind = MCK_Reg36; break;
case AArch64::Q10_Q11_Q12_Q13: OpKind = MCK_Reg36; break;
case AArch64::Q11_Q12_Q13_Q14: OpKind = MCK_Reg36; break;
case AArch64::Q12_Q13_Q14_Q15: OpKind = MCK_Reg36; break;
case AArch64::Q13_Q14_Q15_Q16: OpKind = MCK_Reg37; break;
case AArch64::Q14_Q15_Q16_Q17: OpKind = MCK_Reg38; break;
case AArch64::Q15_Q16_Q17_Q18: OpKind = MCK_Reg39; break;
case AArch64::Q16_Q17_Q18_Q19: OpKind = MCK_QQQQ; break;
case AArch64::Q17_Q18_Q19_Q20: OpKind = MCK_QQQQ; break;
case AArch64::Q18_Q19_Q20_Q21: OpKind = MCK_QQQQ; break;
case AArch64::Q19_Q20_Q21_Q22: OpKind = MCK_QQQQ; break;
case AArch64::Q20_Q21_Q22_Q23: OpKind = MCK_QQQQ; break;
case AArch64::Q21_Q22_Q23_Q24: OpKind = MCK_QQQQ; break;
case AArch64::Q22_Q23_Q24_Q25: OpKind = MCK_QQQQ; break;
case AArch64::Q23_Q24_Q25_Q26: OpKind = MCK_QQQQ; break;
case AArch64::Q24_Q25_Q26_Q27: OpKind = MCK_QQQQ; break;
case AArch64::Q25_Q26_Q27_Q28: OpKind = MCK_QQQQ; break;
case AArch64::Q26_Q27_Q28_Q29: OpKind = MCK_QQQQ; break;
case AArch64::Q27_Q28_Q29_Q30: OpKind = MCK_QQQQ; break;
case AArch64::Q28_Q29_Q30_Q31: OpKind = MCK_QQQQ; break;
case AArch64::Q29_Q30_Q31_Q0: OpKind = MCK_Reg44; break;
case AArch64::Q30_Q31_Q0_Q1: OpKind = MCK_Reg45; break;
case AArch64::Q31_Q0_Q1_Q2: OpKind = MCK_Reg46; break;
case AArch64::Q0_Q1_Q2: OpKind = MCK_Reg47; break;
case AArch64::Q1_Q2_Q3: OpKind = MCK_Reg47; break;
case AArch64::Q2_Q3_Q4: OpKind = MCK_Reg47; break;
case AArch64::Q3_Q4_Q5: OpKind = MCK_Reg47; break;
case AArch64::Q4_Q5_Q6: OpKind = MCK_Reg47; break;
case AArch64::Q5_Q6_Q7: OpKind = MCK_Reg47; break;
case AArch64::Q6_Q7_Q8: OpKind = MCK_Reg47; break;
case AArch64::Q7_Q8_Q9: OpKind = MCK_Reg47; break;
case AArch64::Q8_Q9_Q10: OpKind = MCK_Reg47; break;
case AArch64::Q9_Q10_Q11: OpKind = MCK_Reg47; break;
case AArch64::Q10_Q11_Q12: OpKind = MCK_Reg47; break;
case AArch64::Q11_Q12_Q13: OpKind = MCK_Reg47; break;
case AArch64::Q12_Q13_Q14: OpKind = MCK_Reg47; break;
case AArch64::Q13_Q14_Q15: OpKind = MCK_Reg47; break;
case AArch64::Q14_Q15_Q16: OpKind = MCK_Reg48; break;
case AArch64::Q15_Q16_Q17: OpKind = MCK_Reg49; break;
case AArch64::Q16_Q17_Q18: OpKind = MCK_QQQ; break;
case AArch64::Q17_Q18_Q19: OpKind = MCK_QQQ; break;
case AArch64::Q18_Q19_Q20: OpKind = MCK_QQQ; break;
case AArch64::Q19_Q20_Q21: OpKind = MCK_QQQ; break;
case AArch64::Q20_Q21_Q22: OpKind = MCK_QQQ; break;
case AArch64::Q21_Q22_Q23: OpKind = MCK_QQQ; break;
case AArch64::Q22_Q23_Q24: OpKind = MCK_QQQ; break;
case AArch64::Q23_Q24_Q25: OpKind = MCK_QQQ; break;
case AArch64::Q24_Q25_Q26: OpKind = MCK_QQQ; break;
case AArch64::Q25_Q26_Q27: OpKind = MCK_QQQ; break;
case AArch64::Q26_Q27_Q28: OpKind = MCK_QQQ; break;
case AArch64::Q27_Q28_Q29: OpKind = MCK_QQQ; break;
case AArch64::Q28_Q29_Q30: OpKind = MCK_QQQ; break;
case AArch64::Q29_Q30_Q31: OpKind = MCK_QQQ; break;
case AArch64::Q30_Q31_Q0: OpKind = MCK_Reg52; break;
case AArch64::Q31_Q0_Q1: OpKind = MCK_Reg53; break;
case AArch64::W0_W1: OpKind = MCK_Reg54; break;
case AArch64::W2_W3: OpKind = MCK_Reg54; break;
case AArch64::W4_W5: OpKind = MCK_Reg54; break;
case AArch64::W6_W7: OpKind = MCK_Reg54; break;
case AArch64::W8_W9: OpKind = MCK_Reg55; break;
case AArch64::W10_W11: OpKind = MCK_Reg55; break;
case AArch64::W12_W13: OpKind = MCK_Reg55; break;
case AArch64::W14_W15: OpKind = MCK_Reg55; break;
case AArch64::W16_W17: OpKind = MCK_Reg55; break;
case AArch64::W18_W19: OpKind = MCK_Reg55; break;
case AArch64::W20_W21: OpKind = MCK_Reg55; break;
case AArch64::W22_W23: OpKind = MCK_Reg55; break;
case AArch64::W24_W25: OpKind = MCK_Reg55; break;
case AArch64::W26_W27: OpKind = MCK_Reg55; break;
case AArch64::W28_W29: OpKind = MCK_Reg55; break;
case AArch64::W30_WZR: OpKind = MCK_WSeqPairsClass; break;
case AArch64::X0_X1: OpKind = MCK_Reg57; break;
case AArch64::X2_X3: OpKind = MCK_Reg57; break;
case AArch64::X4_X5: OpKind = MCK_Reg57; break;
case AArch64::X6_X7: OpKind = MCK_Reg57; break;
case AArch64::X8_X9: OpKind = MCK_Reg58; break;
case AArch64::X10_X11: OpKind = MCK_Reg58; break;
case AArch64::X12_X13: OpKind = MCK_Reg58; break;
case AArch64::X14_X15: OpKind = MCK_Reg58; break;
case AArch64::X16_X17: OpKind = MCK_Reg66; break;
case AArch64::X18_X19: OpKind = MCK_Reg63; break;
case AArch64::X20_X21: OpKind = MCK_Reg64; break;
case AArch64::X22_X23: OpKind = MCK_Reg64; break;
case AArch64::X24_X25: OpKind = MCK_Reg64; break;
case AArch64::X26_X27: OpKind = MCK_Reg64; break;
case AArch64::X28_FP: OpKind = MCK_Reg64; break;
case AArch64::LR_XZR: OpKind = MCK_Reg65; break;
case AArch64::Z0_Z1: OpKind = MCK_Reg67; break;
case AArch64::Z1_Z2: OpKind = MCK_Reg67; break;
case AArch64::Z2_Z3: OpKind = MCK_Reg67; break;
case AArch64::Z3_Z4: OpKind = MCK_Reg67; break;
case AArch64::Z4_Z5: OpKind = MCK_Reg67; break;
case AArch64::Z5_Z6: OpKind = MCK_Reg67; break;
case AArch64::Z6_Z7: OpKind = MCK_Reg67; break;
case AArch64::Z7_Z8: OpKind = MCK_Reg68; break;
case AArch64::Z8_Z9: OpKind = MCK_Reg69; break;
case AArch64::Z9_Z10: OpKind = MCK_Reg69; break;
case AArch64::Z10_Z11: OpKind = MCK_Reg69; break;
case AArch64::Z11_Z12: OpKind = MCK_Reg69; break;
case AArch64::Z12_Z13: OpKind = MCK_Reg69; break;
case AArch64::Z13_Z14: OpKind = MCK_Reg69; break;
case AArch64::Z14_Z15: OpKind = MCK_Reg69; break;
case AArch64::Z15_Z16: OpKind = MCK_Reg70; break;
case AArch64::Z16_Z17: OpKind = MCK_ZPR2; break;
case AArch64::Z17_Z18: OpKind = MCK_ZPR2; break;
case AArch64::Z18_Z19: OpKind = MCK_ZPR2; break;
case AArch64::Z19_Z20: OpKind = MCK_ZPR2; break;
case AArch64::Z20_Z21: OpKind = MCK_ZPR2; break;
case AArch64::Z21_Z22: OpKind = MCK_ZPR2; break;
case AArch64::Z22_Z23: OpKind = MCK_ZPR2; break;
case AArch64::Z23_Z24: OpKind = MCK_ZPR2; break;
case AArch64::Z24_Z25: OpKind = MCK_ZPR2; break;
case AArch64::Z25_Z26: OpKind = MCK_ZPR2; break;
case AArch64::Z26_Z27: OpKind = MCK_ZPR2; break;
case AArch64::Z27_Z28: OpKind = MCK_ZPR2; break;
case AArch64::Z28_Z29: OpKind = MCK_ZPR2; break;
case AArch64::Z29_Z30: OpKind = MCK_ZPR2; break;
case AArch64::Z30_Z31: OpKind = MCK_ZPR2; break;
case AArch64::Z31_Z0: OpKind = MCK_Reg73; break;
case AArch64::Z0_Z1_Z2_Z3: OpKind = MCK_Reg74; break;
case AArch64::Z1_Z2_Z3_Z4: OpKind = MCK_Reg74; break;
case AArch64::Z2_Z3_Z4_Z5: OpKind = MCK_Reg74; break;
case AArch64::Z3_Z4_Z5_Z6: OpKind = MCK_Reg74; break;
case AArch64::Z4_Z5_Z6_Z7: OpKind = MCK_Reg74; break;
case AArch64::Z5_Z6_Z7_Z8: OpKind = MCK_Reg75; break;
case AArch64::Z6_Z7_Z8_Z9: OpKind = MCK_Reg76; break;
case AArch64::Z7_Z8_Z9_Z10: OpKind = MCK_Reg77; break;
case AArch64::Z8_Z9_Z10_Z11: OpKind = MCK_Reg78; break;
case AArch64::Z9_Z10_Z11_Z12: OpKind = MCK_Reg78; break;
case AArch64::Z10_Z11_Z12_Z13: OpKind = MCK_Reg78; break;
case AArch64::Z11_Z12_Z13_Z14: OpKind = MCK_Reg78; break;
case AArch64::Z12_Z13_Z14_Z15: OpKind = MCK_Reg78; break;
case AArch64::Z13_Z14_Z15_Z16: OpKind = MCK_Reg79; break;
case AArch64::Z14_Z15_Z16_Z17: OpKind = MCK_Reg80; break;
case AArch64::Z15_Z16_Z17_Z18: OpKind = MCK_Reg81; break;
case AArch64::Z16_Z17_Z18_Z19: OpKind = MCK_ZPR4; break;
case AArch64::Z17_Z18_Z19_Z20: OpKind = MCK_ZPR4; break;
case AArch64::Z18_Z19_Z20_Z21: OpKind = MCK_ZPR4; break;
case AArch64::Z19_Z20_Z21_Z22: OpKind = MCK_ZPR4; break;
case AArch64::Z20_Z21_Z22_Z23: OpKind = MCK_ZPR4; break;
case AArch64::Z21_Z22_Z23_Z24: OpKind = MCK_ZPR4; break;
case AArch64::Z22_Z23_Z24_Z25: OpKind = MCK_ZPR4; break;
case AArch64::Z23_Z24_Z25_Z26: OpKind = MCK_ZPR4; break;
case AArch64::Z24_Z25_Z26_Z27: OpKind = MCK_ZPR4; break;
case AArch64::Z25_Z26_Z27_Z28: OpKind = MCK_ZPR4; break;
case AArch64::Z26_Z27_Z28_Z29: OpKind = MCK_ZPR4; break;
case AArch64::Z27_Z28_Z29_Z30: OpKind = MCK_ZPR4; break;
case AArch64::Z28_Z29_Z30_Z31: OpKind = MCK_ZPR4; break;
case AArch64::Z29_Z30_Z31_Z0: OpKind = MCK_Reg92; break;
case AArch64::Z30_Z31_Z0_Z1: OpKind = MCK_Reg93; break;
case AArch64::Z31_Z0_Z1_Z2: OpKind = MCK_Reg94; break;
case AArch64::Z0_Z1_Z2: OpKind = MCK_Reg95; break;
case AArch64::Z1_Z2_Z3: OpKind = MCK_Reg95; break;
case AArch64::Z2_Z3_Z4: OpKind = MCK_Reg95; break;
case AArch64::Z3_Z4_Z5: OpKind = MCK_Reg95; break;
case AArch64::Z4_Z5_Z6: OpKind = MCK_Reg95; break;
case AArch64::Z5_Z6_Z7: OpKind = MCK_Reg95; break;
case AArch64::Z6_Z7_Z8: OpKind = MCK_Reg96; break;
case AArch64::Z7_Z8_Z9: OpKind = MCK_Reg97; break;
case AArch64::Z8_Z9_Z10: OpKind = MCK_Reg98; break;
case AArch64::Z9_Z10_Z11: OpKind = MCK_Reg98; break;
case AArch64::Z10_Z11_Z12: OpKind = MCK_Reg98; break;
case AArch64::Z11_Z12_Z13: OpKind = MCK_Reg98; break;
case AArch64::Z12_Z13_Z14: OpKind = MCK_Reg98; break;
case AArch64::Z13_Z14_Z15: OpKind = MCK_Reg98; break;
case AArch64::Z14_Z15_Z16: OpKind = MCK_Reg99; break;
case AArch64::Z15_Z16_Z17: OpKind = MCK_Reg100; break;
case AArch64::Z16_Z17_Z18: OpKind = MCK_ZPR3; break;
case AArch64::Z17_Z18_Z19: OpKind = MCK_ZPR3; break;
case AArch64::Z18_Z19_Z20: OpKind = MCK_ZPR3; break;
case AArch64::Z19_Z20_Z21: OpKind = MCK_ZPR3; break;
case AArch64::Z20_Z21_Z22: OpKind = MCK_ZPR3; break;
case AArch64::Z21_Z22_Z23: OpKind = MCK_ZPR3; break;
case AArch64::Z22_Z23_Z24: OpKind = MCK_ZPR3; break;
case AArch64::Z23_Z24_Z25: OpKind = MCK_ZPR3; break;
case AArch64::Z24_Z25_Z26: OpKind = MCK_ZPR3; break;
case AArch64::Z25_Z26_Z27: OpKind = MCK_ZPR3; break;
case AArch64::Z26_Z27_Z28: OpKind = MCK_ZPR3; break;
case AArch64::Z27_Z28_Z29: OpKind = MCK_ZPR3; break;
case AArch64::Z28_Z29_Z30: OpKind = MCK_ZPR3; break;
case AArch64::Z29_Z30_Z31: OpKind = MCK_ZPR3; break;
case AArch64::Z30_Z31_Z0: OpKind = MCK_Reg106; break;
case AArch64::Z31_Z0_Z1: OpKind = MCK_Reg107; break;
}
return isSubclass(OpKind, Kind) ? (unsigned)MCTargetAsmParser::Match_Success :
getDiagKindFromRegisterClass(Kind);
}
if (Kind > MCK_LAST_TOKEN && Kind <= MCK_LAST_REGISTER)
return getDiagKindFromRegisterClass(Kind);
return MCTargetAsmParser::Match_InvalidOperand;
}
#ifndef NDEBUG
const char *getMatchClassName(MatchClassKind Kind) {
switch (Kind) {
case InvalidMatchClass: return "InvalidMatchClass";
case OptionalMatchClass: return "OptionalMatchClass";
case MCK__DOT_16B: return "MCK__DOT_16B";
case MCK__DOT_1D: return "MCK__DOT_1D";
case MCK__DOT_1Q: return "MCK__DOT_1Q";
case MCK__DOT_2D: return "MCK__DOT_2D";
case MCK__DOT_2H: return "MCK__DOT_2H";
case MCK__DOT_2S: return "MCK__DOT_2S";
case MCK__DOT_4B: return "MCK__DOT_4B";
case MCK__DOT_4H: return "MCK__DOT_4H";
case MCK__DOT_4S: return "MCK__DOT_4S";
case MCK__DOT_8B: return "MCK__DOT_8B";
case MCK__DOT_8H: return "MCK__DOT_8H";
case MCK__DOT_B: return "MCK__DOT_B";
case MCK__DOT_D: return "MCK__DOT_D";
case MCK__DOT_H: return "MCK__DOT_H";
case MCK__DOT_Q: return "MCK__DOT_Q";
case MCK__DOT_S: return "MCK__DOT_S";
case MCK__EXCLAIM_: return "MCK__EXCLAIM_";
case MCK__HASH_0: return "MCK__HASH_0";
case MCK__HASH_1: return "MCK__HASH_1";
case MCK__HASH_10: return "MCK__HASH_10";
case MCK__HASH_12: return "MCK__HASH_12";
case MCK__HASH_14: return "MCK__HASH_14";
case MCK__HASH_16: return "MCK__HASH_16";
case MCK__HASH_2: return "MCK__HASH_2";
case MCK__HASH_24: return "MCK__HASH_24";
case MCK__HASH_25: return "MCK__HASH_25";
case MCK__HASH_26: return "MCK__HASH_26";
case MCK__HASH_27: return "MCK__HASH_27";
case MCK__HASH_28: return "MCK__HASH_28";
case MCK__HASH_29: return "MCK__HASH_29";
case MCK__HASH_3: return "MCK__HASH_3";
case MCK__HASH_30: return "MCK__HASH_30";
case MCK__HASH_31: return "MCK__HASH_31";
case MCK__HASH_32: return "MCK__HASH_32";
case MCK__HASH_4: return "MCK__HASH_4";
case MCK__HASH_48: return "MCK__HASH_48";
case MCK__HASH_6: return "MCK__HASH_6";
case MCK__HASH_64: return "MCK__HASH_64";
case MCK__HASH_7: return "MCK__HASH_7";
case MCK__HASH_8: return "MCK__HASH_8";
case MCK__DOT_: return "MCK__DOT_";
case MCK__DOT_0: return "MCK__DOT_0";
case MCK__DOT_16b: return "MCK__DOT_16b";
case MCK__DOT_1d: return "MCK__DOT_1d";
case MCK__DOT_1q: return "MCK__DOT_1q";
case MCK__DOT_2d: return "MCK__DOT_2d";
case MCK__DOT_2h: return "MCK__DOT_2h";
case MCK__DOT_2s: return "MCK__DOT_2s";
case MCK__DOT_4b: return "MCK__DOT_4b";
case MCK__DOT_4h: return "MCK__DOT_4h";
case MCK__DOT_4s: return "MCK__DOT_4s";
case MCK__DOT_8b: return "MCK__DOT_8b";
case MCK__DOT_8h: return "MCK__DOT_8h";
case MCK__DOT_b: return "MCK__DOT_b";
case MCK__DOT_d: return "MCK__DOT_d";
case MCK__DOT_h: return "MCK__DOT_h";
case MCK__DOT_q: return "MCK__DOT_q";
case MCK__DOT_s: return "MCK__DOT_s";
case MCK__47_: return "MCK__47_";
case MCK__91_: return "MCK__91_";
case MCK__93_: return "MCK__93_";
case MCK_m: return "MCK_m";
case MCK_mul: return "MCK_mul";
case MCK_vl: return "MCK_vl";
case MCK_z: return "MCK_z";
case MCK_Reg66: return "MCK_Reg66";
case MCK_CCR: return "MCK_CCR";
case MCK_GPR32sponly: return "MCK_GPR32sponly";
case MCK_GPR64sponly: return "MCK_GPR64sponly";
case MCK_rtcGPR64: return "MCK_rtcGPR64";
case MCK_Reg57: return "MCK_Reg57";
case MCK_Reg54: return "MCK_Reg54";
case MCK_Reg74: return "MCK_Reg74";
case MCK_Reg95: return "MCK_Reg95";
case MCK_Reg94: return "MCK_Reg94";
case MCK_Reg75: return "MCK_Reg75";
case MCK_Reg107: return "MCK_Reg107";
case MCK_Reg96: return "MCK_Reg96";
case MCK_Reg93: return "MCK_Reg93";
case MCK_Reg91: return "MCK_Reg91";
case MCK_Reg76: return "MCK_Reg76";
case MCK_Reg67: return "MCK_Reg67";
case MCK_Reg106: return "MCK_Reg106";
case MCK_Reg105: return "MCK_Reg105";
case MCK_Reg97: return "MCK_Reg97";
case MCK_Reg92: return "MCK_Reg92";
case MCK_Reg90: return "MCK_Reg90";
case MCK_Reg89: return "MCK_Reg89";
case MCK_Reg77: return "MCK_Reg77";
case MCK_Reg73: return "MCK_Reg73";
case MCK_Reg68: return "MCK_Reg68";
case MCK_Reg58: return "MCK_Reg58";
case MCK_GPR32arg: return "MCK_GPR32arg";
case MCK_GPR64arg: return "MCK_GPR64arg";
case MCK_PPR_3b: return "MCK_PPR_3b";
case MCK_ZPR_3b: return "MCK_ZPR_3b";
case MCK_Reg63: return "MCK_Reg63";
case MCK_Reg59: return "MCK_Reg59";
case MCK_Reg60: return "MCK_Reg60";
case MCK_Reg78: return "MCK_Reg78";
case MCK_Reg36: return "MCK_Reg36";
case MCK_Reg98: return "MCK_Reg98";
case MCK_Reg88: return "MCK_Reg88";
case MCK_Reg79: return "MCK_Reg79";
case MCK_Reg64: return "MCK_Reg64";
case MCK_Reg47: return "MCK_Reg47";
case MCK_Reg46: return "MCK_Reg46";
case MCK_Reg37: return "MCK_Reg37";
case MCK_Reg104: return "MCK_Reg104";
case MCK_Reg99: return "MCK_Reg99";
case MCK_Reg87: return "MCK_Reg87";
case MCK_Reg85: return "MCK_Reg85";
case MCK_Reg80: return "MCK_Reg80";
case MCK_Reg69: return "MCK_Reg69";
case MCK_Reg65: return "MCK_Reg65";
case MCK_Reg61: return "MCK_Reg61";
case MCK_Reg55: return "MCK_Reg55";
case MCK_Reg53: return "MCK_Reg53";
case MCK_Reg48: return "MCK_Reg48";
case MCK_Reg45: return "MCK_Reg45";
case MCK_Reg43: return "MCK_Reg43";
case MCK_Reg38: return "MCK_Reg38";
case MCK_Reg32: return "MCK_Reg32";
case MCK_Reg103: return "MCK_Reg103";
case MCK_Reg102: return "MCK_Reg102";
case MCK_Reg100: return "MCK_Reg100";
case MCK_Reg86: return "MCK_Reg86";
case MCK_Reg84: return "MCK_Reg84";
case MCK_Reg83: return "MCK_Reg83";
case MCK_Reg81: return "MCK_Reg81";
case MCK_Reg72: return "MCK_Reg72";
case MCK_Reg70: return "MCK_Reg70";
case MCK_Reg52: return "MCK_Reg52";
case MCK_Reg51: return "MCK_Reg51";
case MCK_Reg49: return "MCK_Reg49";
case MCK_Reg44: return "MCK_Reg44";
case MCK_Reg42: return "MCK_Reg42";
case MCK_Reg41: return "MCK_Reg41";
case MCK_Reg39: return "MCK_Reg39";
case MCK_Reg35: return "MCK_Reg35";
case MCK_Reg33: return "MCK_Reg33";
case MCK_FPR128_lo: return "MCK_FPR128_lo";
case MCK_PPR: return "MCK_PPR";
case MCK_WSeqPairsClass: return "MCK_WSeqPairsClass";
case MCK_XSeqPairsClass: return "MCK_XSeqPairsClass";
case MCK_ZPR_4b: return "MCK_ZPR_4b";
case MCK_Reg12: return "MCK_Reg12";
case MCK_tcGPR64: return "MCK_tcGPR64";
case MCK_Reg13: return "MCK_Reg13";
case MCK_GPR64noip: return "MCK_GPR64noip";
case MCK_GPR32common: return "MCK_GPR32common";
case MCK_GPR64common: return "MCK_GPR64common";
case MCK_DD: return "MCK_DD";
case MCK_DDD: return "MCK_DDD";
case MCK_DDDD: return "MCK_DDDD";
case MCK_FPR128: return "MCK_FPR128";
case MCK_FPR16: return "MCK_FPR16";
case MCK_FPR32: return "MCK_FPR32";
case MCK_FPR64: return "MCK_FPR64";
case MCK_FPR8: return "MCK_FPR8";
case MCK_GPR32: return "MCK_GPR32";
case MCK_GPR32sp: return "MCK_GPR32sp";
case MCK_GPR64: return "MCK_GPR64";
case MCK_GPR64sp: return "MCK_GPR64sp";
case MCK_QQ: return "MCK_QQ";
case MCK_QQQ: return "MCK_QQQ";
case MCK_QQQQ: return "MCK_QQQQ";
case MCK_ZPR: return "MCK_ZPR";
case MCK_ZPR2: return "MCK_ZPR2";
case MCK_ZPR3: return "MCK_ZPR3";
case MCK_ZPR4: return "MCK_ZPR4";
case MCK_GPR32all: return "MCK_GPR32all";
case MCK_GPR64all: return "MCK_GPR64all";
case MCK_AddSubImmNeg: return "MCK_AddSubImmNeg";
case MCK_AddSubImm: return "MCK_AddSubImm";
case MCK_AdrLabel: return "MCK_AdrLabel";
case MCK_AdrpLabel: return "MCK_AdrpLabel";
case MCK_BTIHint: return "MCK_BTIHint";
case MCK_Barrier: return "MCK_Barrier";
case MCK_BranchTarget14: return "MCK_BranchTarget14";
case MCK_BranchTarget26: return "MCK_BranchTarget26";
case MCK_CondCode: return "MCK_CondCode";
case MCK_Extend64: return "MCK_Extend64";
case MCK_ExtendLSL64: return "MCK_ExtendLSL64";
case MCK_Extend: return "MCK_Extend";
case MCK_FPImm: return "MCK_FPImm";
case MCK_GPR32as64: return "MCK_GPR32as64";
case MCK_GPR64NoXZRshifted16: return "MCK_GPR64NoXZRshifted16";
case MCK_GPR64NoXZRshifted32: return "MCK_GPR64NoXZRshifted32";
case MCK_GPR64NoXZRshifted64: return "MCK_GPR64NoXZRshifted64";
case MCK_GPR64NoXZRshifted8: return "MCK_GPR64NoXZRshifted8";
case MCK_GPR64as32: return "MCK_GPR64as32";
case MCK_GPR64shifted16: return "MCK_GPR64shifted16";
case MCK_GPR64shifted32: return "MCK_GPR64shifted32";
case MCK_GPR64shifted64: return "MCK_GPR64shifted64";
case MCK_GPR64shifted8: return "MCK_GPR64shifted8";
case MCK_GPR64sp0: return "MCK_GPR64sp0";
case MCK_Imm0_127: return "MCK_Imm0_127";
case MCK_Imm0_15: return "MCK_Imm0_15";
case MCK_Imm0_1: return "MCK_Imm0_1";
case MCK_Imm0_255: return "MCK_Imm0_255";
case MCK_Imm0_31: return "MCK_Imm0_31";
case MCK_Imm0_63: return "MCK_Imm0_63";
case MCK_Imm0_7: return "MCK_Imm0_7";
case MCK_Imm1_16: return "MCK_Imm1_16";
case MCK_Imm1_32: return "MCK_Imm1_32";
case MCK_Imm1_64: return "MCK_Imm1_64";
case MCK_Imm1_8: return "MCK_Imm1_8";
case MCK_Imm: return "MCK_Imm";
case MCK_LogicalImm32Not: return "MCK_LogicalImm32Not";
case MCK_LogicalImm32: return "MCK_LogicalImm32";
case MCK_LogicalImm64Not: return "MCK_LogicalImm64Not";
case MCK_LogicalImm64: return "MCK_LogicalImm64";
case MCK_MRSSystemRegister: return "MCK_MRSSystemRegister";
case MCK_MSRSystemRegister: return "MCK_MSRSystemRegister";
case MCK_MemWExtend128: return "MCK_MemWExtend128";
case MCK_MemWExtend16: return "MCK_MemWExtend16";
case MCK_MemWExtend32: return "MCK_MemWExtend32";
case MCK_MemWExtend64: return "MCK_MemWExtend64";
case MCK_MemWExtend8: return "MCK_MemWExtend8";
case MCK_MemXExtend128: return "MCK_MemXExtend128";
case MCK_MemXExtend16: return "MCK_MemXExtend16";
case MCK_MemXExtend32: return "MCK_MemXExtend32";
case MCK_MemXExtend64: return "MCK_MemXExtend64";
case MCK_MemXExtend8: return "MCK_MemXExtend8";
case MCK_MovWSymbolG0: return "MCK_MovWSymbolG0";
case MCK_MovWSymbolG1: return "MCK_MovWSymbolG1";
case MCK_MovWSymbolG2: return "MCK_MovWSymbolG2";
case MCK_MovWSymbolG3: return "MCK_MovWSymbolG3";
case MCK_PCRelLabel19: return "MCK_PCRelLabel19";
case MCK_SVEPredicateHReg: return "MCK_SVEPredicateHReg";
case MCK_SVEPredicateSReg: return "MCK_SVEPredicateSReg";
case MCK_SVEPredicate3bHReg: return "MCK_SVEPredicate3bHReg";
case MCK_SVEPredicate3bSReg: return "MCK_SVEPredicate3bSReg";
case MCK_SVEPredicate3bDReg: return "MCK_SVEPredicate3bDReg";
case MCK_SVEPredicate3bBReg: return "MCK_SVEPredicate3bBReg";
case MCK_SVEPredicate3bAnyReg: return "MCK_SVEPredicate3bAnyReg";
case MCK_SVEPredicateDReg: return "MCK_SVEPredicateDReg";
case MCK_SVEPredicateBReg: return "MCK_SVEPredicateBReg";
case MCK_SVEPredicateAnyReg: return "MCK_SVEPredicateAnyReg";
case MCK_PSBHint: return "MCK_PSBHint";
case MCK_Prefetch: return "MCK_Prefetch";
case MCK_SIMDImmType10: return "MCK_SIMDImmType10";
case MCK_SImm10s8: return "MCK_SImm10s8";
case MCK_SImm4s16: return "MCK_SImm4s16";
case MCK_SImm4s1: return "MCK_SImm4s1";
case MCK_SImm4s2: return "MCK_SImm4s2";
case MCK_SImm4s3: return "MCK_SImm4s3";
case MCK_SImm4s4: return "MCK_SImm4s4";
case MCK_SImm5: return "MCK_SImm5";
case MCK_SImm6: return "MCK_SImm6";
case MCK_SImm6s1: return "MCK_SImm6s1";
case MCK_SImm7s16: return "MCK_SImm7s16";
case MCK_SImm7s4: return "MCK_SImm7s4";
case MCK_SImm7s8: return "MCK_SImm7s8";
case MCK_SImm8: return "MCK_SImm8";
case MCK_SImm9OffsetFB128: return "MCK_SImm9OffsetFB128";
case MCK_SImm9OffsetFB16: return "MCK_SImm9OffsetFB16";
case MCK_SImm9OffsetFB32: return "MCK_SImm9OffsetFB32";
case MCK_SImm9OffsetFB64: return "MCK_SImm9OffsetFB64";
case MCK_SImm9OffsetFB8: return "MCK_SImm9OffsetFB8";
case MCK_SImm9: return "MCK_SImm9";
case MCK_SVEAddSubImm16: return "MCK_SVEAddSubImm16";
case MCK_SVEAddSubImm32: return "MCK_SVEAddSubImm32";
case MCK_SVEAddSubImm64: return "MCK_SVEAddSubImm64";
case MCK_SVEAddSubImm8: return "MCK_SVEAddSubImm8";
case MCK_SVECpyImm16: return "MCK_SVECpyImm16";
case MCK_SVECpyImm32: return "MCK_SVECpyImm32";
case MCK_SVECpyImm64: return "MCK_SVECpyImm64";
case MCK_SVECpyImm8: return "MCK_SVECpyImm8";
case MCK_SVEPattern: return "MCK_SVEPattern";
case MCK_SVEPrefetch: return "MCK_SVEPrefetch";
case MCK_SVEIndexRange0_63: return "MCK_SVEIndexRange0_63";
case MCK_SVEIndexRange0_7: return "MCK_SVEIndexRange0_7";
case MCK_SVEIndexRange0_31: return "MCK_SVEIndexRange0_31";
case MCK_SVEIndexRange0_3: return "MCK_SVEIndexRange0_3";
case MCK_SVEIndexRange0_15: return "MCK_SVEIndexRange0_15";
case MCK_LogicalVecHalfWordShifter: return "MCK_LogicalVecHalfWordShifter";
case MCK_ArithmeticShifter32: return "MCK_ArithmeticShifter32";
case MCK_ArithmeticShifter64: return "MCK_ArithmeticShifter64";
case MCK_LogicalShifter32: return "MCK_LogicalShifter32";
case MCK_LogicalShifter64: return "MCK_LogicalShifter64";
case MCK_LogicalVecShifter: return "MCK_LogicalVecShifter";
case MCK_MovImm32Shifter: return "MCK_MovImm32Shifter";
case MCK_MovImm64Shifter: return "MCK_MovImm64Shifter";
case MCK_MoveVecShifter: return "MCK_MoveVecShifter";
case MCK_Shifter: return "MCK_Shifter";
case MCK_SysCR: return "MCK_SysCR";
case MCK_SystemPStateFieldWithImm0_15: return "MCK_SystemPStateFieldWithImm0_15";
case MCK_SystemPStateFieldWithImm0_1: return "MCK_SystemPStateFieldWithImm0_1";
case MCK_TBZImm0_31: return "MCK_TBZImm0_31";
case MCK_Imm32_63: return "MCK_Imm32_63";
case MCK_UImm12Offset16: return "MCK_UImm12Offset16";
case MCK_UImm12Offset1: return "MCK_UImm12Offset1";
case MCK_UImm12Offset2: return "MCK_UImm12Offset2";
case MCK_UImm12Offset4: return "MCK_UImm12Offset4";
case MCK_UImm12Offset8: return "MCK_UImm12Offset8";
case MCK_UImm5s2: return "MCK_UImm5s2";
case MCK_UImm5s4: return "MCK_UImm5s4";
case MCK_UImm5s8: return "MCK_UImm5s8";
case MCK_UImm6: return "MCK_UImm6";
case MCK_UImm6s16: return "MCK_UImm6s16";
case MCK_UImm6s1: return "MCK_UImm6s1";
case MCK_UImm6s2: return "MCK_UImm6s2";
case MCK_UImm6s4: return "MCK_UImm6s4";
case MCK_UImm6s8: return "MCK_UImm6s8";
case MCK_VecListFour128: return "MCK_VecListFour128";
case MCK_TypedVectorList4_168: return "MCK_TypedVectorList4_168";
case MCK_TypedVectorList4_164: return "MCK_TypedVectorList4_164";
case MCK_TypedVectorList4_264: return "MCK_TypedVectorList4_264";
case MCK_TypedVectorList4_232: return "MCK_TypedVectorList4_232";
case MCK_TypedVectorList4_416: return "MCK_TypedVectorList4_416";
case MCK_TypedVectorList4_432: return "MCK_TypedVectorList4_432";
case MCK_VecListFour64: return "MCK_VecListFour64";
case MCK_TypedVectorList4_88: return "MCK_TypedVectorList4_88";
case MCK_TypedVectorList4_816: return "MCK_TypedVectorList4_816";
case MCK_TypedVectorList4_08: return "MCK_TypedVectorList4_08";
case MCK_TypedVectorList4_064: return "MCK_TypedVectorList4_064";
case MCK_TypedVectorList4_016: return "MCK_TypedVectorList4_016";
case MCK_TypedVectorList4_032: return "MCK_TypedVectorList4_032";
case MCK_VecListOne128: return "MCK_VecListOne128";
case MCK_TypedVectorList1_168: return "MCK_TypedVectorList1_168";
case MCK_TypedVectorList1_164: return "MCK_TypedVectorList1_164";
case MCK_TypedVectorList1_264: return "MCK_TypedVectorList1_264";
case MCK_TypedVectorList1_232: return "MCK_TypedVectorList1_232";
case MCK_TypedVectorList1_416: return "MCK_TypedVectorList1_416";
case MCK_TypedVectorList1_432: return "MCK_TypedVectorList1_432";
case MCK_VecListOne64: return "MCK_VecListOne64";
case MCK_TypedVectorList1_88: return "MCK_TypedVectorList1_88";
case MCK_TypedVectorList1_816: return "MCK_TypedVectorList1_816";
case MCK_TypedVectorList1_08: return "MCK_TypedVectorList1_08";
case MCK_TypedVectorList1_064: return "MCK_TypedVectorList1_064";
case MCK_TypedVectorList1_016: return "MCK_TypedVectorList1_016";
case MCK_TypedVectorList1_032: return "MCK_TypedVectorList1_032";
case MCK_VecListThree128: return "MCK_VecListThree128";
case MCK_TypedVectorList3_168: return "MCK_TypedVectorList3_168";
case MCK_TypedVectorList3_164: return "MCK_TypedVectorList3_164";
case MCK_TypedVectorList3_264: return "MCK_TypedVectorList3_264";
case MCK_TypedVectorList3_232: return "MCK_TypedVectorList3_232";
case MCK_TypedVectorList3_416: return "MCK_TypedVectorList3_416";
case MCK_TypedVectorList3_432: return "MCK_TypedVectorList3_432";
case MCK_VecListThree64: return "MCK_VecListThree64";
case MCK_TypedVectorList3_88: return "MCK_TypedVectorList3_88";
case MCK_TypedVectorList3_816: return "MCK_TypedVectorList3_816";
case MCK_TypedVectorList3_08: return "MCK_TypedVectorList3_08";
case MCK_TypedVectorList3_064: return "MCK_TypedVectorList3_064";
case MCK_TypedVectorList3_016: return "MCK_TypedVectorList3_016";
case MCK_TypedVectorList3_032: return "MCK_TypedVectorList3_032";
case MCK_VecListTwo128: return "MCK_VecListTwo128";
case MCK_TypedVectorList2_168: return "MCK_TypedVectorList2_168";
case MCK_TypedVectorList2_164: return "MCK_TypedVectorList2_164";
case MCK_TypedVectorList2_264: return "MCK_TypedVectorList2_264";
case MCK_TypedVectorList2_232: return "MCK_TypedVectorList2_232";
case MCK_TypedVectorList2_416: return "MCK_TypedVectorList2_416";
case MCK_TypedVectorList2_432: return "MCK_TypedVectorList2_432";
case MCK_VecListTwo64: return "MCK_VecListTwo64";
case MCK_TypedVectorList2_88: return "MCK_TypedVectorList2_88";
case MCK_TypedVectorList2_816: return "MCK_TypedVectorList2_816";
case MCK_TypedVectorList2_08: return "MCK_TypedVectorList2_08";
case MCK_TypedVectorList2_064: return "MCK_TypedVectorList2_064";
case MCK_TypedVectorList2_016: return "MCK_TypedVectorList2_016";
case MCK_TypedVectorList2_032: return "MCK_TypedVectorList2_032";
case MCK_IndexRange1_1: return "MCK_IndexRange1_1";
case MCK_IndexRange0_15: return "MCK_IndexRange0_15";
case MCK_IndexRange0_1: return "MCK_IndexRange0_1";
case MCK_IndexRange0_7: return "MCK_IndexRange0_7";
case MCK_IndexRange0_3: return "MCK_IndexRange0_3";
case MCK_VectorReg128: return "MCK_VectorReg128";
case MCK_VectorReg64: return "MCK_VectorReg64";
case MCK_VectorRegLo: return "MCK_VectorRegLo";
case MCK_WSeqPair: return "MCK_WSeqPair";
case MCK_XSeqPair: return "MCK_XSeqPair";
case MCK_ZPRExtendLSL3216: return "MCK_ZPRExtendLSL3216";
case MCK_ZPRExtendLSL3232: return "MCK_ZPRExtendLSL3232";
case MCK_ZPRExtendLSL3264: return "MCK_ZPRExtendLSL3264";
case MCK_ZPRExtendLSL328: return "MCK_ZPRExtendLSL328";
case MCK_ZPRExtendSXTW3216: return "MCK_ZPRExtendSXTW3216";
case MCK_ZPRExtendSXTW3232: return "MCK_ZPRExtendSXTW3232";
case MCK_ZPRExtendSXTW3264: return "MCK_ZPRExtendSXTW3264";
case MCK_ZPRExtendSXTW328: return "MCK_ZPRExtendSXTW328";
case MCK_ZPRExtendSXTW328Only: return "MCK_ZPRExtendSXTW328Only";
case MCK_ZPRExtendUXTW3216: return "MCK_ZPRExtendUXTW3216";
case MCK_ZPRExtendUXTW3232: return "MCK_ZPRExtendUXTW3232";
case MCK_ZPRExtendUXTW3264: return "MCK_ZPRExtendUXTW3264";
case MCK_ZPRExtendUXTW328: return "MCK_ZPRExtendUXTW328";
case MCK_ZPRExtendUXTW328Only: return "MCK_ZPRExtendUXTW328Only";
case MCK_ZPRExtendLSL6416: return "MCK_ZPRExtendLSL6416";
case MCK_ZPRExtendLSL6432: return "MCK_ZPRExtendLSL6432";
case MCK_ZPRExtendLSL6464: return "MCK_ZPRExtendLSL6464";
case MCK_ZPRExtendLSL648: return "MCK_ZPRExtendLSL648";
case MCK_ZPRExtendSXTW6416: return "MCK_ZPRExtendSXTW6416";
case MCK_ZPRExtendSXTW6432: return "MCK_ZPRExtendSXTW6432";
case MCK_ZPRExtendSXTW6464: return "MCK_ZPRExtendSXTW6464";
case MCK_ZPRExtendSXTW648: return "MCK_ZPRExtendSXTW648";
case MCK_ZPRExtendSXTW648Only: return "MCK_ZPRExtendSXTW648Only";
case MCK_ZPRExtendUXTW6416: return "MCK_ZPRExtendUXTW6416";
case MCK_ZPRExtendUXTW6432: return "MCK_ZPRExtendUXTW6432";
case MCK_ZPRExtendUXTW6464: return "MCK_ZPRExtendUXTW6464";
case MCK_ZPRExtendUXTW648: return "MCK_ZPRExtendUXTW648";
case MCK_ZPRExtendUXTW648Only: return "MCK_ZPRExtendUXTW648Only";
case MCK_SVEVectorQReg: return "MCK_SVEVectorQReg";
case MCK_SVEVectorHReg: return "MCK_SVEVectorHReg";
case MCK_SVEVectorSReg: return "MCK_SVEVectorSReg";
case MCK_SVEVector3bHReg: return "MCK_SVEVector3bHReg";
case MCK_SVEVector3bSReg: return "MCK_SVEVector3bSReg";
case MCK_SVEVector3bBReg: return "MCK_SVEVector3bBReg";
case MCK_SVEVector4bHReg: return "MCK_SVEVector4bHReg";
case MCK_SVEVector4bSReg: return "MCK_SVEVector4bSReg";
case MCK_SVEVector4bDReg: return "MCK_SVEVector4bDReg";
case MCK_SVEVectorDReg: return "MCK_SVEVectorDReg";
case MCK_SVEVectorBReg: return "MCK_SVEVectorBReg";
case MCK_SVEVectorAnyReg: return "MCK_SVEVectorAnyReg";
case MCK_FPRAsmOperandFPR8: return "MCK_FPRAsmOperandFPR8";
case MCK_FPRAsmOperandFPR16: return "MCK_FPRAsmOperandFPR16";
case MCK_FPRAsmOperandFPR32: return "MCK_FPRAsmOperandFPR32";
case MCK_FPRAsmOperandFPR64: return "MCK_FPRAsmOperandFPR64";
case MCK_FPRAsmOperandFPR128: return "MCK_FPRAsmOperandFPR128";
case MCK_FPR8asZPR: return "MCK_FPR8asZPR";
case MCK_FPR16asZPR: return "MCK_FPR16asZPR";
case MCK_FPR32asZPR: return "MCK_FPR32asZPR";
case MCK_FPR64asZPR: return "MCK_FPR64asZPR";
case MCK_FPR128asZPR: return "MCK_FPR128asZPR";
case MCK_SVEVectorList18: return "MCK_SVEVectorList18";
case MCK_SVEVectorList116: return "MCK_SVEVectorList116";
case MCK_SVEVectorList132: return "MCK_SVEVectorList132";
case MCK_SVEVectorList164: return "MCK_SVEVectorList164";
case MCK_SVEVectorList28: return "MCK_SVEVectorList28";
case MCK_SVEVectorList216: return "MCK_SVEVectorList216";
case MCK_SVEVectorList232: return "MCK_SVEVectorList232";
case MCK_SVEVectorList264: return "MCK_SVEVectorList264";
case MCK_SVEVectorList38: return "MCK_SVEVectorList38";
case MCK_SVEVectorList316: return "MCK_SVEVectorList316";
case MCK_SVEVectorList332: return "MCK_SVEVectorList332";
case MCK_SVEVectorList364: return "MCK_SVEVectorList364";
case MCK_SVEVectorList48: return "MCK_SVEVectorList48";
case MCK_SVEVectorList416: return "MCK_SVEVectorList416";
case MCK_SVEVectorList432: return "MCK_SVEVectorList432";
case MCK_SVEVectorList464: return "MCK_SVEVectorList464";
case MCK_SImm9s16: return "MCK_SImm9s16";
case MCK_Imm0_65535: return "MCK_Imm0_65535";
case MCK_ComplexRotationEven: return "MCK_ComplexRotationEven";
case MCK_ComplexRotationOdd: return "MCK_ComplexRotationOdd";
case MCK_SVELogicalImm8: return "MCK_SVELogicalImm8";
case MCK_SVELogicalImm16: return "MCK_SVELogicalImm16";
case MCK_SVELogicalImm32: return "MCK_SVELogicalImm32";
case MCK_SVEPreferredLogicalImm16: return "MCK_SVEPreferredLogicalImm16";
case MCK_SVEPreferredLogicalImm32: return "MCK_SVEPreferredLogicalImm32";
case MCK_SVEPreferredLogicalImm64: return "MCK_SVEPreferredLogicalImm64";
case MCK_SVELogicalImm8Not: return "MCK_SVELogicalImm8Not";
case MCK_SVELogicalImm16Not: return "MCK_SVELogicalImm16Not";
case MCK_SVELogicalImm32Not: return "MCK_SVELogicalImm32Not";
case MCK_SVEExactFPImmOperandHalfOne: return "MCK_SVEExactFPImmOperandHalfOne";
case MCK_SVEExactFPImmOperandHalfTwo: return "MCK_SVEExactFPImmOperandHalfTwo";
case MCK_SVEExactFPImmOperandZeroOne: return "MCK_SVEExactFPImmOperandZeroOne";
case MCK_MOVZ32_lsl0MovAlias: return "MCK_MOVZ32_lsl0MovAlias";
case MCK_MOVZ32_lsl16MovAlias: return "MCK_MOVZ32_lsl16MovAlias";
case MCK_MOVZ64_lsl0MovAlias: return "MCK_MOVZ64_lsl0MovAlias";
case MCK_MOVZ64_lsl16MovAlias: return "MCK_MOVZ64_lsl16MovAlias";
case MCK_MOVZ64_lsl32MovAlias: return "MCK_MOVZ64_lsl32MovAlias";
case MCK_MOVZ64_lsl48MovAlias: return "MCK_MOVZ64_lsl48MovAlias";
case MCK_MOVN32_lsl0MovAlias: return "MCK_MOVN32_lsl0MovAlias";
case MCK_MOVN32_lsl16MovAlias: return "MCK_MOVN32_lsl16MovAlias";
case MCK_MOVN64_lsl0MovAlias: return "MCK_MOVN64_lsl0MovAlias";
case MCK_MOVN64_lsl16MovAlias: return "MCK_MOVN64_lsl16MovAlias";
case MCK_MOVN64_lsl32MovAlias: return "MCK_MOVN64_lsl32MovAlias";
case MCK_MOVN64_lsl48MovAlias: return "MCK_MOVN64_lsl48MovAlias";
case NumMatchClassKinds: return "NumMatchClassKinds";
}
llvm_unreachable("unhandled MatchClassKind!");
}
#endif // NDEBUG
FeatureBitset AArch64AsmParser::
ComputeAvailableFeatures(const FeatureBitset& FB) const {
FeatureBitset Features;
if ((FB[AArch64::HasV8_1aOps]))
Features.set(Feature_HasV8_1aBit);
if ((FB[AArch64::HasV8_2aOps]))
Features.set(Feature_HasV8_2aBit);
if ((FB[AArch64::HasV8_3aOps]))
Features.set(Feature_HasV8_3aBit);
if ((FB[AArch64::HasV8_4aOps]))
Features.set(Feature_HasV8_4aBit);
if ((FB[AArch64::HasV8_5aOps]))
Features.set(Feature_HasV8_5aBit);
if ((FB[AArch64::FeatureVH]))
Features.set(Feature_HasVHBit);
if ((FB[AArch64::FeatureLOR]))
Features.set(Feature_HasLORBit);
if ((FB[AArch64::FeaturePA]))
Features.set(Feature_HasPABit);
if ((FB[AArch64::FeatureJS]))
Features.set(Feature_HasJSBit);
if ((FB[AArch64::FeatureCCIDX]))
Features.set(Feature_HasCCIDXBit);
if ((FB[AArch64::FeatureComplxNum]))
Features.set(Feature_HasComplxNumBit);
if ((FB[AArch64::FeatureNV]))
Features.set(Feature_HasNVBit);
if ((FB[AArch64::FeatureRASv8_4]))
Features.set(Feature_HasRASv8_4Bit);
if ((FB[AArch64::FeatureMPAM]))
Features.set(Feature_HasMPAMBit);
if ((FB[AArch64::FeatureDIT]))
Features.set(Feature_HasDITBit);
if ((FB[AArch64::FeatureTRACEV8_4]))
Features.set(Feature_HasTRACEV8_4Bit);
if ((FB[AArch64::FeatureAM]))
Features.set(Feature_HasAMBit);
if ((FB[AArch64::FeatureSEL2]))
Features.set(Feature_HasSEL2Bit);
if ((FB[AArch64::FeaturePMU]))
Features.set(Feature_HasPMUBit);
if ((FB[AArch64::FeatureTLB_RMI]))
Features.set(Feature_HasTLB_RMIBit);
if ((FB[AArch64::FeatureFMI]))
Features.set(Feature_HasFMIBit);
if ((FB[AArch64::FeatureRCPC_IMMO]))
Features.set(Feature_HasRCPC_IMMOBit);
if ((FB[AArch64::FeatureFPARMv8]))
Features.set(Feature_HasFPARMv8Bit);
if ((FB[AArch64::FeatureNEON]))
Features.set(Feature_HasNEONBit);
if ((FB[AArch64::FeatureCrypto]))
Features.set(Feature_HasCryptoBit);
if ((FB[AArch64::FeatureSM4]))
Features.set(Feature_HasSM4Bit);
if ((FB[AArch64::FeatureSHA3]))
Features.set(Feature_HasSHA3Bit);
if ((FB[AArch64::FeatureSHA2]))
Features.set(Feature_HasSHA2Bit);
if ((FB[AArch64::FeatureAES]))
Features.set(Feature_HasAESBit);
if ((FB[AArch64::FeatureDotProd]))
Features.set(Feature_HasDotProdBit);
if ((FB[AArch64::FeatureCRC]))
Features.set(Feature_HasCRCBit);
if ((FB[AArch64::FeatureLSE]))
Features.set(Feature_HasLSEBit);
if ((FB[AArch64::FeatureRAS]))
Features.set(Feature_HasRASBit);
if ((FB[AArch64::FeatureRDM]))
Features.set(Feature_HasRDMBit);
if ((FB[AArch64::FeatureFullFP16]))
Features.set(Feature_HasFullFP16Bit);
if ((FB[AArch64::FeatureFP16FML]))
Features.set(Feature_HasFP16FMLBit);
if ((FB[AArch64::FeatureSPE]))
Features.set(Feature_HasSPEBit);
if ((FB[AArch64::FeatureFuseAES]))
Features.set(Feature_HasFuseAESBit);
if ((FB[AArch64::FeatureSVE]))
Features.set(Feature_HasSVEBit);
if ((FB[AArch64::FeatureSVE2]))
Features.set(Feature_HasSVE2Bit);
if ((FB[AArch64::FeatureSVE2AES]))
Features.set(Feature_HasSVE2AESBit);
if ((FB[AArch64::FeatureSVE2SM4]))
Features.set(Feature_HasSVE2SM4Bit);
if ((FB[AArch64::FeatureSVE2SHA3]))
Features.set(Feature_HasSVE2SHA3Bit);
if ((FB[AArch64::FeatureSVE2BitPerm]))
Features.set(Feature_HasSVE2BitPermBit);
if ((FB[AArch64::FeatureRCPC]))
Features.set(Feature_HasRCPCBit);
if ((FB[AArch64::FeatureAltFPCmp]))
Features.set(Feature_HasAltNZCVBit);
if ((FB[AArch64::FeatureFRInt3264]))
Features.set(Feature_HasFRInt3264Bit);
if ((FB[AArch64::FeatureSB]))
Features.set(Feature_HasSBBit);
if ((FB[AArch64::FeaturePredRes]))
Features.set(Feature_HasPredResBit);
if ((FB[AArch64::FeatureCacheDeepPersist]))
Features.set(Feature_HasCCDPBit);
if ((FB[AArch64::FeatureBranchTargetId]))
Features.set(Feature_HasBTIBit);
if ((FB[AArch64::FeatureMTE]))
Features.set(Feature_HasMTEBit);
if ((FB[AArch64::FeatureTME]))
Features.set(Feature_HasTMEBit);
if ((FB[AArch64::FeatureETE]))
Features.set(Feature_HasETEBit);
if ((FB[AArch64::FeatureTRBE]))
Features.set(Feature_HasTRBEBit);
if ((!FB[AArch64::FeatureNoNegativeImmediates]))
Features.set(Feature_UseNegativeImmediatesBit);
if ((FB[AArch64::FeatureCCPP]))
Features.set(Feature_HasCCPPBit);
if ((FB[AArch64::FeaturePAN]))
Features.set(Feature_HasPANBit);
if ((FB[AArch64::FeaturePsUAO]))
Features.set(Feature_HasPsUAOBit);
if ((FB[AArch64::FeaturePAN_RWV]))
Features.set(Feature_HasPAN_RWVBit);
return Features;
}
static bool checkAsmTiedOperandConstraints(const AArch64AsmParser&AsmParser,
unsigned Kind,
const OperandVector &Operands,
uint64_t &ErrorInfo) {
assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
const uint8_t *Converter = ConversionTable[Kind];
for (const uint8_t *p = Converter; *p; p+= 2) {
switch (*p) {
case CVT_Tied: {
unsigned OpIdx = *(p+1);
assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
std::begin(TiedAsmOperandTable)) &&
"Tied operand not found");
unsigned OpndNum1 = TiedAsmOperandTable[OpIdx][1];
unsigned OpndNum2 = TiedAsmOperandTable[OpIdx][2];
if (OpndNum1 != OpndNum2) {
auto &SrcOp1 = Operands[OpndNum1];
auto &SrcOp2 = Operands[OpndNum2];
if (SrcOp1->isReg() && SrcOp2->isReg()) {
if (!AsmParser.regsEqual(*SrcOp1, *SrcOp2)) {
ErrorInfo = OpndNum2;
return false;
}
}
}
break;
}
default:
break;
}
}
return true;
}
static const char *const MnemonicTable =
"\003abs\003adc\005adclb\005adclt\004adcs\003add\004addg\005addhn\006add"
"hn2\006addhnb\006addhnt\004addp\005addpl\004adds\004addv\005addvl\003ad"
"r\004adrp\004aesd\004aese\006aesimc\005aesmc\003and\004ands\004andv\003"
"asr\004asrd\004asrr\004asrv\005autda\005autdb\006autdza\006autdzb\005au"
"tia\tautia1716\007autiasp\006autiaz\005autib\tautib1716\007autibsp\006a"
"utibz\006autiza\006autizb\006axflag\001b\004bcax\004bdep\004bext\003bfm"
"\004bgrp\003bic\004bics\003bif\003bit\002bl\003blr\005blraa\006blraaz\005"
"blrab\006blrabz\002br\004braa\005braaz\004brab\005brabz\003brk\004brka\005"
"brkas\004brkb\005brkbs\004brkn\005brkns\005brkpa\006brkpas\005brkpb\006"
"brkpbs\003bsl\005bsl1n\005bsl2n\003bti\004cadd\003cas\004casa\005casab\005"
"casah\005casal\006casalb\006casalh\004casb\004cash\004casl\005caslb\005"
"caslh\004casp\005caspa\006caspal\005caspl\004cbnz\003cbz\004ccmn\004ccm"
"p\004cdot\005cfinv\004cinc\004cinv\006clasta\006clastb\005clrex\003cls\003"
"clz\004cmeq\004cmge\004cmgt\004cmhi\004cmhs\004cmla\004cmle\004cmlo\004"
"cmls\004cmlt\003cmn\003cmp\005cmpeq\005cmpge\005cmpgt\005cmphi\005cmphs"
"\005cmple\005cmplo\005cmpls\005cmplt\005cmpne\004cmpp\005cmtst\004cneg\004"
"cnot\003cnt\004cntb\004cntd\004cnth\004cntp\004cntw\007compact\003cpy\006"
"crc32b\007crc32cb\007crc32ch\007crc32cw\007crc32cx\006crc32h\006crc32w\006"
"crc32x\004csdb\004csel\004cset\005csetm\005csinc\005csinv\005csneg\007c"
"termeq\007ctermne\005dcps1\005dcps2\005dcps3\004decb\004decd\004dech\004"
"decp\004decw\003dmb\004drps\003dsb\003dup\004dupm\003eon\003eor\004eor3"
"\005eorbt\004eors\005eortb\004eorv\004eret\006eretaa\006eretab\003esb\003"
"ext\004extr\004fabd\004fabs\005facge\005facgt\005facle\005faclt\004fadd"
"\005fadda\005faddp\005faddv\005fcadd\005fccmp\006fccmpe\005fcmeq\005fcm"
"ge\005fcmgt\005fcmla\005fcmle\005fcmlt\005fcmne\004fcmp\005fcmpe\005fcm"
"uo\004fcpy\005fcsel\004fcvt\006fcvtas\006fcvtau\005fcvtl\006fcvtl2\006f"
"cvtlt\006fcvtms\006fcvtmu\005fcvtn\006fcvtn2\006fcvtns\006fcvtnt\006fcv"
"tnu\006fcvtps\006fcvtpu\005fcvtx\006fcvtxn\007fcvtxn2\007fcvtxnt\006fcv"
"tzs\006fcvtzu\004fdiv\005fdivr\004fdup\005fexpa\007fjcvtzs\005flogb\004"
"fmad\005fmadd\004fmax\006fmaxnm\007fmaxnmp\007fmaxnmv\005fmaxp\005fmaxv"
"\004fmin\006fminnm\007fminnmp\007fminnmv\005fminp\005fminv\004fmla\005f"
"mlal\006fmlal2\006fmlalb\006fmlalt\004fmls\005fmlsl\006fmlsl2\006fmlslb"
"\006fmlslt\004fmov\004fmsb\005fmsub\004fmul\005fmulx\004fneg\005fnmad\006"
"fnmadd\005fnmla\005fnmls\005fnmsb\006fnmsub\005fnmul\006frecpe\006frecp"
"s\006frecpx\010frint32x\010frint32z\010frint64x\010frint64z\006frinta\006"
"frinti\006frintm\006frintn\006frintp\006frintx\006frintz\007frsqrte\007"
"frsqrts\006fscale\005fsqrt\004fsub\005fsubr\005ftmad\006ftsmul\006ftsse"
"l\003gmi\004hint\007histcnt\007histseg\003hlt\003hvc\004incb\004incd\004"
"inch\004incp\004incw\005index\003ins\004insr\003irg\003isb\005lasta\005"
"lastb\003ld1\004ld1b\004ld1d\004ld1h\004ld1r\005ld1rb\005ld1rd\005ld1rh"
"\006ld1rqb\006ld1rqd\006ld1rqh\006ld1rqw\006ld1rsb\006ld1rsh\006ld1rsw\005"
"ld1rw\005ld1sb\005ld1sh\005ld1sw\004ld1w\003ld2\004ld2b\004ld2d\004ld2h"
"\004ld2r\004ld2w\003ld3\004ld3b\004ld3d\004ld3h\004ld3r\004ld3w\003ld4\004"
"ld4b\004ld4d\004ld4h\004ld4r\004ld4w\005ldadd\006ldadda\007ldaddab\007l"
"daddah\007ldaddal\010ldaddalb\010ldaddalh\006ldaddb\006ldaddh\006ldaddl"
"\007ldaddlb\007ldaddlh\005ldapr\006ldaprb\006ldaprh\006ldapur\007ldapur"
"b\007ldapurh\010ldapursb\010ldapursh\010ldapursw\004ldar\005ldarb\005ld"
"arh\005ldaxp\005ldaxr\006ldaxrb\006ldaxrh\005ldclr\006ldclra\007ldclrab"
"\007ldclrah\007ldclral\010ldclralb\010ldclralh\006ldclrb\006ldclrh\006l"
"dclrl\007ldclrlb\007ldclrlh\005ldeor\006ldeora\007ldeorab\007ldeorah\007"
"ldeoral\010ldeoralb\010ldeoralh\006ldeorb\006ldeorh\006ldeorl\007ldeorl"
"b\007ldeorlh\006ldff1b\006ldff1d\006ldff1h\007ldff1sb\007ldff1sh\007ldf"
"f1sw\006ldff1w\003ldg\004ldgm\005ldlar\006ldlarb\006ldlarh\006ldnf1b\006"
"ldnf1d\006ldnf1h\007ldnf1sb\007ldnf1sh\007ldnf1sw\006ldnf1w\004ldnp\006"
"ldnt1b\006ldnt1d\006ldnt1h\007ldnt1sb\007ldnt1sh\007ldnt1sw\006ldnt1w\003"
"ldp\005ldpsw\003ldr\005ldraa\005ldrab\004ldrb\004ldrh\005ldrsb\005ldrsh"
"\005ldrsw\005ldset\006ldseta\007ldsetab\007ldsetah\007ldsetal\010ldseta"
"lb\010ldsetalh\006ldsetb\006ldseth\006ldsetl\007ldsetlb\007ldsetlh\006l"
"dsmax\007ldsmaxa\010ldsmaxab\010ldsmaxah\010ldsmaxal\tldsmaxalb\tldsmax"
"alh\007ldsmaxb\007ldsmaxh\007ldsmaxl\010ldsmaxlb\010ldsmaxlh\006ldsmin\007"
"ldsmina\010ldsminab\010ldsminah\010ldsminal\tldsminalb\tldsminalh\007ld"
"sminb\007ldsminh\007ldsminl\010ldsminlb\010ldsminlh\004ldtr\005ldtrb\005"
"ldtrh\006ldtrsb\006ldtrsh\006ldtrsw\006ldumax\007ldumaxa\010ldumaxab\010"
"ldumaxah\010ldumaxal\tldumaxalb\tldumaxalh\007ldumaxb\007ldumaxh\007ldu"
"maxl\010ldumaxlb\010ldumaxlh\006ldumin\007ldumina\010lduminab\010ldumin"
"ah\010lduminal\tlduminalb\tlduminalh\007lduminb\007lduminh\007lduminl\010"
"lduminlb\010lduminlh\004ldur\005ldurb\005ldurh\006ldursb\006ldursh\006l"
"dursw\004ldxp\004ldxr\005ldxrb\005ldxrh\003lsl\004lslr\004lslv\003lsr\004"
"lsrr\004lsrv\003mad\004madd\005match\003mla\003mls\004mneg\003mov\004mo"
"vi\004movk\004movn\007movprfx\004movs\004movz\003mrs\003msb\003msr\004m"
"sub\003mul\003mvn\004mvni\004nand\005nands\004nbsl\003neg\004negs\003ng"
"c\004ngcs\006nmatch\003nop\003nor\004nors\003not\004nots\003orn\004orns"
"\003orr\004orrs\003orv\005pacda\005pacdb\006pacdza\006pacdzb\005pacga\005"
"pacia\tpacia1716\007paciasp\006paciaz\005pacib\tpacib1716\007pacibsp\006"
"pacibz\006paciza\006pacizb\006pfalse\006pfirst\004pmul\005pmull\006pmul"
"l2\006pmullb\006pmullt\005pnext\004prfb\004prfd\004prfh\004prfm\005prfu"
"m\004prfw\003psb\005pssbb\005ptest\005ptrue\006ptrues\007punpkhi\007pun"
"pklo\006raddhn\007raddhn2\007raddhnb\007raddhnt\004rax1\004rbit\005rdff"
"r\006rdffrs\004rdvl\003ret\005retaa\005retab\003rev\005rev16\005rev32\005"
"rev64\004revb\004revh\004revw\004rmif\003ror\004rorv\005rshrn\006rshrn2"
"\006rshrnb\006rshrnt\006rsubhn\007rsubhn2\007rsubhnb\007rsubhnt\004saba"
"\005sabal\006sabal2\006sabalb\006sabalt\004sabd\005sabdl\006sabdl2\006s"
"abdlb\006sabdlt\006sadalp\005saddl\006saddl2\006saddlb\007saddlbt\006sa"
"ddlp\006saddlt\006saddlv\005saddv\005saddw\006saddw2\006saddwb\006saddw"
"t\002sb\003sbc\005sbclb\005sbclt\004sbcs\004sbfm\005scvtf\004sdiv\005sd"
"ivr\004sdot\003sel\006setf16\005setf8\006setffr\003sev\004sevl\005sha1c"
"\005sha1h\005sha1m\005sha1p\007sha1su0\007sha1su1\007sha256h\010sha256h"
"2\tsha256su0\tsha256su1\007sha512h\010sha512h2\tsha512su0\tsha512su1\005"
"shadd\003shl\004shll\005shll2\004shrn\005shrn2\005shrnb\005shrnt\005shs"
"ub\006shsubr\003sli\tsm3partw1\tsm3partw2\006sm3ss1\007sm3tt1a\007sm3tt"
"1b\007sm3tt2a\007sm3tt2b\004sm4e\007sm4ekey\006smaddl\004smax\005smaxp\005"
"smaxv\003smc\004smin\005sminp\005sminv\005smlal\006smlal2\006smlalb\006"
"smlalt\005smlsl\006smlsl2\006smlslb\006smlslt\006smnegl\004smov\006smsu"
"bl\005smulh\005smull\006smull2\006smullb\006smullt\006splice\005sqabs\005"
"sqadd\006sqcadd\006sqdecb\006sqdecd\006sqdech\006sqdecp\006sqdecw\007sq"
"dmlal\010sqdmlal2\010sqdmlalb\tsqdmlalbt\010sqdmlalt\007sqdmlsl\010sqdm"
"lsl2\010sqdmlslb\tsqdmlslbt\010sqdmlslt\007sqdmulh\007sqdmull\010sqdmul"
"l2\010sqdmullb\010sqdmullt\006sqincb\006sqincd\006sqinch\006sqincp\006s"
"qincw\005sqneg\tsqrdcmlah\010sqrdmlah\010sqrdmlsh\010sqrdmulh\006sqrshl"
"\007sqrshlr\007sqrshrn\010sqrshrn2\010sqrshrnb\010sqrshrnt\010sqrshrun\t"
"sqrshrun2\tsqrshrunb\tsqrshrunt\005sqshl\006sqshlr\006sqshlu\006sqshrn\007"
"sqshrn2\007sqshrnb\007sqshrnt\007sqshrun\010sqshrun2\010sqshrunb\010sqs"
"hrunt\005sqsub\006sqsubr\005sqxtn\006sqxtn2\006sqxtnb\006sqxtnt\006sqxt"
"un\007sqxtun2\007sqxtunb\007sqxtunt\006srhadd\003sri\005srshl\006srshlr"
"\005srshr\005srsra\004ssbb\004sshl\005sshll\006sshll2\006sshllb\006sshl"
"lt\004sshr\004ssra\005ssubl\006ssubl2\006ssublb\007ssublbt\006ssublt\007"
"ssubltb\005ssubw\006ssubw2\006ssubwb\006ssubwt\003st1\004st1b\004st1d\004"
"st1h\004st1w\003st2\004st2b\004st2d\004st2g\004st2h\004st2w\003st3\004s"
"t3b\004st3d\004st3h\004st3w\003st4\004st4b\004st4d\004st4h\004st4w\005s"
"tadd\006staddb\006staddh\006staddl\007staddlb\007staddlh\005stclr\006st"
"clrb\006stclrh\006stclrl\007stclrlb\007stclrlh\005steor\006steorb\006st"
"eorh\006steorl\007steorlb\007steorlh\003stg\004stgm\004stgp\005stllr\006"
"stllrb\006stllrh\004stlr\005stlrb\005stlrh\005stlur\006stlurb\006stlurh"
"\005stlxp\005stlxr\006stlxrb\006stlxrh\004stnp\006stnt1b\006stnt1d\006s"
"tnt1h\006stnt1w\003stp\003str\004strb\004strh\005stset\006stsetb\006sts"
"eth\006stsetl\007stsetlb\007stsetlh\006stsmax\007stsmaxb\007stsmaxh\007"
"stsmaxl\010stsmaxlb\010stsmaxlh\006stsmin\007stsminb\007stsminh\007stsm"
"inl\010stsminlb\010stsminlh\004sttr\005sttrb\005sttrh\006stumax\007stum"
"axb\007stumaxh\007stumaxl\010stumaxlb\010stumaxlh\006stumin\007stuminb\007"
"stuminh\007stuminl\010stuminlb\010stuminlh\004stur\005sturb\005sturh\004"
"stxp\004stxr\005stxrb\005stxrh\005stz2g\004stzg\005stzgm\003sub\004subg"
"\005subhn\006subhn2\006subhnb\006subhnt\004subp\005subps\004subr\004sub"
"s\007sunpkhi\007sunpklo\006suqadd\003svc\003swp\004swpa\005swpab\005swp"
"ah\005swpal\006swpalb\006swpalh\004swpb\004swph\004swpl\005swplb\005swp"
"lh\004sxtb\004sxth\004sxtl\005sxtl2\004sxtw\003sys\004sysl\003tbl\004tb"
"nz\003tbx\003tbz\007tcancel\007tcommit\004trn1\004trn2\003tsb\003tst\006"
"tstart\005ttest\004uaba\005uabal\006uabal2\006uabalb\006uabalt\004uabd\005"
"uabdl\006uabdl2\006uabdlb\006uabdlt\006uadalp\005uaddl\006uaddl2\006uad"
"dlb\006uaddlp\006uaddlt\006uaddlv\005uaddv\005uaddw\006uaddw2\006uaddwb"
"\006uaddwt\004ubfm\005ucvtf\003udf\004udiv\005udivr\004udot\005uhadd\005"
"uhsub\006uhsubr\006umaddl\004umax\005umaxp\005umaxv\004umin\005uminp\005"
"uminv\005umlal\006umlal2\006umlalb\006umlalt\005umlsl\006umlsl2\006umls"
"lb\006umlslt\006umnegl\004umov\006umsubl\005umulh\005umull\006umull2\006"
"umullb\006umullt\005uqadd\006uqdecb\006uqdecd\006uqdech\006uqdecp\006uq"
"decw\006uqincb\006uqincd\006uqinch\006uqincp\006uqincw\006uqrshl\007uqr"
"shlr\007uqrshrn\010uqrshrn2\010uqrshrnb\010uqrshrnt\005uqshl\006uqshlr\006"
"uqshrn\007uqshrn2\007uqshrnb\007uqshrnt\005uqsub\006uqsubr\005uqxtn\006"
"uqxtn2\006uqxtnb\006uqxtnt\006urecpe\006urhadd\005urshl\006urshlr\005ur"
"shr\007ursqrte\005ursra\004ushl\005ushll\006ushll2\006ushllb\006ushllt\004"
"ushr\006usqadd\004usra\005usubl\006usubl2\006usublb\006usublt\005usubw\006"
"usubw2\006usubwb\006usubwt\007uunpkhi\007uunpklo\004uxtb\004uxth\004uxt"
"l\005uxtl2\004uxtw\004uzp1\004uzp2\003wfe\003wfi\007whilege\007whilegt\007"
"whilehi\007whilehs\007whilele\007whilelo\007whilels\007whilelt\007while"
"rw\007whilewr\005wrffr\006xaflag\003xar\005xpacd\005xpaci\007xpaclri\003"
"xtn\004xtn2\005yield\004zip1\004zip2";
// Feature bitsets.
enum : uint8_t {
AMFBS_None,
AMFBS_HasAES,
AMFBS_HasAltNZCV,
AMFBS_HasBTI,
AMFBS_HasCRC,
AMFBS_HasDotProd,
AMFBS_HasFMI,
AMFBS_HasFPARMv8,
AMFBS_HasFRInt3264,
AMFBS_HasFullFP16,
AMFBS_HasLOR,
AMFBS_HasLSE,
AMFBS_HasMTE,
AMFBS_HasNEON,
AMFBS_HasPA,
AMFBS_HasRAS,
AMFBS_HasRCPC,
AMFBS_HasRCPC_IMMO,
AMFBS_HasRDM,
AMFBS_HasSB,
AMFBS_HasSHA2,
AMFBS_HasSHA3,
AMFBS_HasSM4,
AMFBS_HasSPE,
AMFBS_HasSVE,
AMFBS_HasSVE2,
AMFBS_HasSVE2AES,
AMFBS_HasSVE2BitPerm,
AMFBS_HasSVE2SHA3,
AMFBS_HasSVE2SM4,
AMFBS_HasTME,
AMFBS_HasTRACEV8_4,
AMFBS_UseNegativeImmediates,
AMFBS_HasComplxNum_HasNEON,
AMFBS_HasJS_HasFPARMv8,
AMFBS_HasNEON_HasFP16FML,
AMFBS_HasNEON_HasFullFP16,
AMFBS_HasNEON_HasRDM,
AMFBS_HasComplxNum_HasNEON_HasFullFP16,
};
static constexpr FeatureBitset FeatureBitsets[] = {
{}, // AMFBS_None
{Feature_HasAESBit, },
{Feature_HasAltNZCVBit, },
{Feature_HasBTIBit, },
{Feature_HasCRCBit, },
{Feature_HasDotProdBit, },
{Feature_HasFMIBit, },
{Feature_HasFPARMv8Bit, },
{Feature_HasFRInt3264Bit, },
{Feature_HasFullFP16Bit, },
{Feature_HasLORBit, },
{Feature_HasLSEBit, },
{Feature_HasMTEBit, },
{Feature_HasNEONBit, },
{Feature_HasPABit, },
{Feature_HasRASBit, },
{Feature_HasRCPCBit, },
{Feature_HasRCPC_IMMOBit, },
{Feature_HasRDMBit, },
{Feature_HasSBBit, },
{Feature_HasSHA2Bit, },
{Feature_HasSHA3Bit, },
{Feature_HasSM4Bit, },
{Feature_HasSPEBit, },
{Feature_HasSVEBit, },
{Feature_HasSVE2Bit, },
{Feature_HasSVE2AESBit, },
{Feature_HasSVE2BitPermBit, },
{Feature_HasSVE2SHA3Bit, },
{Feature_HasSVE2SM4Bit, },
{Feature_HasTMEBit, },
{Feature_HasTRACEV8_4Bit, },
{Feature_UseNegativeImmediatesBit, },
{Feature_HasComplxNumBit, Feature_HasNEONBit, },
{Feature_HasJSBit, Feature_HasFPARMv8Bit, },
{Feature_HasNEONBit, Feature_HasFP16FMLBit, },
{Feature_HasNEONBit, Feature_HasFullFP16Bit, },
{Feature_HasNEONBit, Feature_HasRDMBit, },
{Feature_HasComplxNumBit, Feature_HasNEONBit, Feature_HasFullFP16Bit, },
};
namespace {
struct MatchEntry {
uint16_t Mnemonic;
uint16_t Opcode;
uint16_t ConvertFn;
uint8_t RequiredFeaturesIdx;
uint16_t Classes[10];
StringRef getMnemonic() const {
return StringRef(MnemonicTable + Mnemonic + 1,
MnemonicTable[Mnemonic]);
}
};
// Predicate for searching for an opcode.
struct LessOpcode {
bool operator()(const MatchEntry &LHS, StringRef RHS) {
return LHS.getMnemonic() < RHS;
}
bool operator()(StringRef LHS, const MatchEntry &RHS) {
return LHS < RHS.getMnemonic();
}
bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
return LHS.getMnemonic() < RHS.getMnemonic();
}
};
} // end anonymous namespace
static const MatchEntry MatchTable0[] = {
{ 0 /* abs */, AArch64::ABSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 0 /* abs */, AArch64::ABSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 0 /* abs */, AArch64::ABSv2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 0 /* abs */, AArch64::ABSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 0 /* abs */, AArch64::ABSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 0 /* abs */, AArch64::ABSv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 0 /* abs */, AArch64::ABSv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 0 /* abs */, AArch64::ABSv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 8 /* adclb */, AArch64::ADCLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 8 /* adclb */, AArch64::ADCLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 14 /* adclt */, AArch64::ADCLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 14 /* adclt */, AArch64::ADCLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 20 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 20 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
{ 25 /* add */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 25 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
{ 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
{ 25 /* add */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 25 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
{ 25 /* add */, AArch64::ADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 25 /* add */, AArch64::ADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 25 /* add */, AArch64::ADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 25 /* add */, AArch64::ADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 25 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 25 /* add */, AArch64::ADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 25 /* add */, AArch64::ADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 25 /* add */, AArch64::ADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 25 /* add */, AArch64::ADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 25 /* add */, AArch64::ADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 25 /* add */, AArch64::ADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 25 /* add */, AArch64::ADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 29 /* addg */, AArch64::ADDG, Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_UImm6s16, MCK_Imm0_15 }, },
{ 34 /* addhn */, AArch64::ADDHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 34 /* addhn */, AArch64::ADDHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 34 /* addhn */, AArch64::ADDHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 40 /* addhn2 */, AArch64::ADDHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 40 /* addhn2 */, AArch64::ADDHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 40 /* addhn2 */, AArch64::ADDHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 61 /* addp */, AArch64::ADDPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 61 /* addp */, AArch64::ADDPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 61 /* addp */, AArch64::ADDPv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 61 /* addp */, AArch64::ADDPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 61 /* addp */, AArch64::ADDPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 61 /* addp */, AArch64::ADDPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 61 /* addp */, AArch64::ADDPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 61 /* addp */, AArch64::ADDPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 66 /* addpl */, AArch64::ADDPL_XXI, Convert__Reg1_0__Reg1_1__SImm61_2, AMFBS_HasSVE, { MCK_GPR64sp, MCK_GPR64sp, MCK_SImm6 }, },
{ 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
{ 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 72 /* adds */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 72 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
{ 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
{ 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 72 /* adds */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 72 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
{ 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 72 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 77 /* addv */, AArch64::ADDVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 77 /* addv */, AArch64::ADDVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 77 /* addv */, AArch64::ADDVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 77 /* addv */, AArch64::ADDVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
{ 77 /* addv */, AArch64::ADDVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
{ 82 /* addvl */, AArch64::ADDVL_XXI, Convert__Reg1_0__Reg1_1__SImm61_2, AMFBS_HasSVE, { MCK_GPR64sp, MCK_GPR64sp, MCK_SImm6 }, },
{ 88 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrLabel }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_1, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3216, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_2, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32321_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3232, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_3, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32641_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3264, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_0, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL3281_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL328, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 92 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrpLabel }, },
{ 97 /* aesd */, AArch64::AESD_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 97 /* aesd */, AArch64::AESDrr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 102 /* aese */, AArch64::AESE_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 102 /* aese */, AArch64::AESErr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 107 /* aesimc */, AArch64::AESIMC_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 107 /* aesimc */, AArch64::AESIMCrr, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 114 /* aesmc */, AArch64::AESMC_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 114 /* aesmc */, AArch64::AESMCrr, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 120 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 120 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 120 /* and */, AArch64::AND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 120 /* and */, AArch64::ANDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 120 /* and */, AArch64::ANDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 120 /* and */, AArch64::AND_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 124 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
{ 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 124 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
{ 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 124 /* ands */, AArch64::ANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 134 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 134 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 134 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 134 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 134 /* asr */, AArch64::ASR_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 134 /* asr */, AArch64::ASR_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 148 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 148 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 153 /* autda */, AArch64::AUTDA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 159 /* autdb */, AArch64::AUTDB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 165 /* autdza */, AArch64::AUTDZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 172 /* autdzb */, AArch64::AUTDZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 179 /* autia */, AArch64::AUTIA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 185 /* autia1716 */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 195 /* autiasp */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 203 /* autiaz */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 210 /* autib */, AArch64::AUTIB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 216 /* autib1716 */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 226 /* autibsp */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 234 /* autibz */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 241 /* autiza */, AArch64::AUTIZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 248 /* autizb */, AArch64::AUTIZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 255 /* axflag */, AArch64::AXFLAG, Convert_NoOperands, AMFBS_HasAltNZCV, { }, },
{ 262 /* b */, AArch64::B, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
{ 262 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, AMFBS_None, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 264 /* bcax */, AArch64::BCAX, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorReg1281_6, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 279 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 279 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 288 /* bic */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 288 /* bic */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 288 /* bic */, AArch64::BIC_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 288 /* bic */, AArch64::BICv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 288 /* bic */, AArch64::BICv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 292 /* bics */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 292 /* bics */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 292 /* bics */, AArch64::BICS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 297 /* bif */, AArch64::BIFv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 297 /* bif */, AArch64::BIFv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 301 /* bit */, AArch64::BITv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 301 /* bit */, AArch64::BITv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 305 /* bl */, AArch64::BL, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
{ 308 /* blr */, AArch64::BLR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 312 /* blraa */, AArch64::BLRAA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 318 /* blraaz */, AArch64::BLRAAZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 325 /* blrab */, AArch64::BLRAB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 331 /* blrabz */, AArch64::BLRABZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 338 /* br */, AArch64::BR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 341 /* braa */, AArch64::BRAA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 346 /* braaz */, AArch64::BRAAZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 352 /* brab */, AArch64::BRAB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 357 /* brabz */, AArch64::BRABZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 363 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 367 /* brka */, AArch64::BRKA_PPmP, Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 367 /* brka */, AArch64::BRKA_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 372 /* brkas */, AArch64::BRKAS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 378 /* brkb */, AArch64::BRKB_PPmP, Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 378 /* brkb */, AArch64::BRKB_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 383 /* brkbs */, AArch64::BRKBS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 389 /* brkn */, AArch64::BRKN_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 394 /* brkns */, AArch64::BRKNS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 400 /* brkpa */, AArch64::BRKPA_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 406 /* brkpas */, AArch64::BRKPAS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 413 /* brkpb */, AArch64::BRKPB_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 419 /* brkpbs */, AArch64::BRKPBS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 426 /* bsl */, AArch64::BSL_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 426 /* bsl */, AArch64::BSLv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 426 /* bsl */, AArch64::BSLv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 430 /* bsl1n */, AArch64::BSL1N_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 436 /* bsl2n */, AArch64::BSL2N_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 442 /* bti */, AArch64::HINT, Convert__imm_95_32, AMFBS_HasBTI, { }, },
{ 442 /* bti */, AArch64::HINT, Convert__BTIHint1_0, AMFBS_HasBTI, { MCK_BTIHint }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationOdd }, },
{ 451 /* cas */, AArch64::CASW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 451 /* cas */, AArch64::CASX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 455 /* casa */, AArch64::CASAW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 455 /* casa */, AArch64::CASAX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 460 /* casab */, AArch64::CASAB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 466 /* casah */, AArch64::CASAH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 472 /* casal */, AArch64::CASALW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 472 /* casal */, AArch64::CASALX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 478 /* casalb */, AArch64::CASALB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 485 /* casalh */, AArch64::CASALH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 492 /* casb */, AArch64::CASB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 497 /* cash */, AArch64::CASH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 502 /* casl */, AArch64::CASLW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 502 /* casl */, AArch64::CASLX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 507 /* caslb */, AArch64::CASLB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 513 /* caslh */, AArch64::CASLH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 519 /* casp */, AArch64::CASPW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 519 /* casp */, AArch64::CASPX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 524 /* caspa */, AArch64::CASPAW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 524 /* caspa */, AArch64::CASPAX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 530 /* caspal */, AArch64::CASPALW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 530 /* caspal */, AArch64::CASPALX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 537 /* caspl */, AArch64::CASPLW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 537 /* caspl */, AArch64::CASPLX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 543 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 543 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 548 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 548 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 552 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 567 /* cfinv */, AArch64::CFINV, Convert_NoOperands, AMFBS_HasFMI, { }, },
{ 573 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 573 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 578 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 578 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_FPR8, MCK_SVEVectorBReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorBReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_GPR64, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_FPR8, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_GPR64, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 597 /* clrex */, AArch64::CLREX, Convert__imm_95_15, AMFBS_None, { }, },
{ 597 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, AMFBS_None, { MCK_Imm0_15 }, },
{ 603 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 603 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 603 /* cls */, AArch64::CLSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 603 /* cls */, AArch64::CLSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 603 /* cls */, AArch64::CLSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 603 /* cls */, AArch64::CLSv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 603 /* cls */, AArch64::CLSv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 603 /* cls */, AArch64::CLSv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 607 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 607 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 607 /* clz */, AArch64::CLZv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 607 /* clz */, AArch64::CLZv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 607 /* clz */, AArch64::CLZv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 607 /* clz */, AArch64::CLZv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 607 /* clz */, AArch64::CLZv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 607 /* clz */, AArch64::CLZv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 611 /* cmeq */, AArch64::CMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 611 /* cmeq */, AArch64::CMEQv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i8rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 611 /* cmeq */, AArch64::CMEQv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 611 /* cmeq */, AArch64::CMEQv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 611 /* cmeq */, AArch64::CMEQv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 611 /* cmeq */, AArch64::CMEQv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 611 /* cmeq */, AArch64::CMEQv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 611 /* cmeq */, AArch64::CMEQv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 616 /* cmge */, AArch64::CMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 616 /* cmge */, AArch64::CMGEv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv8i8rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 616 /* cmge */, AArch64::CMGEv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 616 /* cmge */, AArch64::CMGEv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 616 /* cmge */, AArch64::CMGEv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 616 /* cmge */, AArch64::CMGEv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 616 /* cmge */, AArch64::CMGEv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 616 /* cmge */, AArch64::CMGEv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 621 /* cmgt */, AArch64::CMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 621 /* cmgt */, AArch64::CMGTv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i8rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 621 /* cmgt */, AArch64::CMGTv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 621 /* cmgt */, AArch64::CMGTv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 621 /* cmgt */, AArch64::CMGTv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 621 /* cmgt */, AArch64::CMGTv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 621 /* cmgt */, AArch64::CMGTv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 621 /* cmgt */, AArch64::CMGTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 626 /* cmhi */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 626 /* cmhi */, AArch64::CMHIv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 626 /* cmhi */, AArch64::CMHIv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 626 /* cmhi */, AArch64::CMHIv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 626 /* cmhi */, AArch64::CMHIv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 626 /* cmhi */, AArch64::CMHIv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 626 /* cmhi */, AArch64::CMHIv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 626 /* cmhi */, AArch64::CMHIv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 631 /* cmhs */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 631 /* cmhs */, AArch64::CMHSv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 631 /* cmhs */, AArch64::CMHSv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 631 /* cmhs */, AArch64::CMHSv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 631 /* cmhs */, AArch64::CMHSv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 631 /* cmhs */, AArch64::CMHSv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 631 /* cmhs */, AArch64::CMHSv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 631 /* cmhs */, AArch64::CMHSv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 641 /* cmle */, AArch64::CMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 641 /* cmle */, AArch64::CMLEv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMLEv8i8rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 641 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 641 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 641 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 641 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 641 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 641 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 646 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 646 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 646 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 646 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 646 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 646 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 646 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 646 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 651 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 651 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 651 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 651 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 651 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 651 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 651 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 651 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 656 /* cmlt */, AArch64::CMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 656 /* cmlt */, AArch64::CMLTv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMLTv8i8rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 656 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 656 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 656 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 656 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 656 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 656 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
{ 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
{ 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 661 /* cmn */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 661 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
{ 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 661 /* cmn */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 661 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
{ 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 661 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
{ 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
{ 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 665 /* cmp */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 665 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
{ 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 665 /* cmp */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 665 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
{ 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 665 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 729 /* cmpp */, AArch64::SUBPS, Convert__regXZR__Reg1_0__Reg1_1, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp }, },
{ 734 /* cmtst */, AArch64::CMTSTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 734 /* cmtst */, AArch64::CMTSTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 734 /* cmtst */, AArch64::CMTSTv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 734 /* cmtst */, AArch64::CMTSTv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 734 /* cmtst */, AArch64::CMTSTv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 734 /* cmtst */, AArch64::CMTSTv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 734 /* cmtst */, AArch64::CMTSTv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 734 /* cmtst */, AArch64::CMTSTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 740 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 740 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 750 /* cnt */, AArch64::CNTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 750 /* cnt */, AArch64::CNTv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_H, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateHReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_S, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateSReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_D, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateDReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_B, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 779 /* compact */, AArch64::COMPACT_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 779 /* compact */, AArch64::COMPACT_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR64sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR8 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm8 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm8 }, },
{ 791 /* crc32b */, AArch64::CRC32Brr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 798 /* crc32cb */, AArch64::CRC32CBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 806 /* crc32ch */, AArch64::CRC32CHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 814 /* crc32cw */, AArch64::CRC32CWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 822 /* crc32cx */, AArch64::CRC32CXrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 830 /* crc32h */, AArch64::CRC32Hrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 837 /* crc32w */, AArch64::CRC32Wrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 844 /* crc32x */, AArch64::CRC32Xrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 851 /* csdb */, AArch64::HINT, Convert__imm_95_20, AMFBS_None, { }, },
{ 856 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 856 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 861 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
{ 861 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
{ 866 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
{ 866 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
{ 872 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 872 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 878 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 878 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 884 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 884 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 890 /* ctermeq */, AArch64::CTERMEQ_WW, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_GPR32 }, },
{ 890 /* ctermeq */, AArch64::CTERMEQ_XX, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64 }, },
{ 898 /* ctermne */, AArch64::CTERMNE_WW, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_GPR32 }, },
{ 898 /* ctermne */, AArch64::CTERMNE_XX, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64 }, },
{ 906 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, AMFBS_None, { }, },
{ 906 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 912 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, AMFBS_None, { }, },
{ 912 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 918 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, AMFBS_None, { }, },
{ 918 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 939 /* decp */, AArch64::DECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 939 /* decp */, AArch64::DECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 939 /* decp */, AArch64::DECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 939 /* decp */, AArch64::DECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 949 /* dmb */, AArch64::DMB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 953 /* drps */, AArch64::DRPS, Convert_NoOperands, AMFBS_None, { }, },
{ 958 /* dsb */, AArch64::DSB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 962 /* dup */, AArch64::DUP_ZR_H, Convert__SVEVectorHReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__SVECpyImm162_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVECpyImm16 }, },
{ 962 /* dup */, AArch64::DUP_ZR_S, Convert__SVEVectorSReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__SVECpyImm322_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVECpyImm32 }, },
{ 962 /* dup */, AArch64::DUP_ZR_D, Convert__SVEVectorDReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__SVECpyImm642_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVECpyImm64 }, },
{ 962 /* dup */, AArch64::DUP_ZR_B, Convert__SVEVectorBReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_B, Convert__SVEVectorBReg1_0__SVECpyImm82_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVECpyImm8 }, },
{ 962 /* dup */, AArch64::DUPv16i8gpr, Convert__VectorReg1281_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv2i64gpr, Convert__VectorReg1281_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_GPR64 }, },
{ 962 /* dup */, AArch64::DUPv4i32gpr, Convert__VectorReg1281_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv8i16gpr, Convert__VectorReg1281_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv2i32gpr, Convert__VectorReg641_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv4i16gpr, Convert__VectorReg641_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv8i8gpr, Convert__VectorReg641_0__Reg1_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_SVEVectorQReg, MCK_SVEIndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEIndexRange0_31 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEIndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEIndexRange0_7 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEIndexRange0_63 }, },
{ 962 /* dup */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 962 /* dup */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 962 /* dup */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUPv16i8lane, Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUPv2i64lane, Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_11_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 962 /* dup */, AArch64::DUPv4i32lane, Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUPv8i16lane, Convert__VectorReg1281_0__VectorReg1281_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 962 /* dup */, AArch64::DUPv2i32lane, Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUPv4i16lane, Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 962 /* dup */, AArch64::DUPv8i8lane, Convert__VectorReg641_0__VectorReg1281_2__IndexRange0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorHReg1_0__SVELogicalImm161_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorSReg1_0__SVELogicalImm321_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorDReg1_0__LogicalImm641_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorBReg1_0__SVELogicalImm81_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 971 /* eon */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 971 /* eon */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 975 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 975 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 975 /* eor */, AArch64::EOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 975 /* eor */, AArch64::EORv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 975 /* eor */, AArch64::EORv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 979 /* eor3 */, AArch64::EOR3, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorReg1281_6, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 990 /* eors */, AArch64::EORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1006 /* eret */, AArch64::ERET, Convert_NoOperands, AMFBS_None, { }, },
{ 1011 /* eretaa */, AArch64::ERETAA, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 1018 /* eretab */, AArch64::ERETAB, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 1025 /* esb */, AArch64::HINT, Convert__imm_95_16, AMFBS_HasRAS, { }, },
{ 1029 /* ext */, AArch64::EXT_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorList281_1__Imm0_2551_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorList28, MCK_Imm0_255 }, },
{ 1029 /* ext */, AArch64::EXT_ZZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm0_2551_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 1029 /* ext */, AArch64::EXTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm }, },
{ 1029 /* ext */, AArch64::EXTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm }, },
{ 1033 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 1033 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 1038 /* fabd */, AArch64::FABD16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1038 /* fabd */, AArch64::FABD32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1038 /* fabd */, AArch64::FABD64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1038 /* fabd */, AArch64::FABDv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1038 /* fabd */, AArch64::FABDv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1038 /* fabd */, AArch64::FABDv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1038 /* fabd */, AArch64::FABDv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1038 /* fabd */, AArch64::FABDv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1043 /* fabs */, AArch64::FABSHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1043 /* fabs */, AArch64::FABSSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1043 /* fabs */, AArch64::FABSDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1043 /* fabs */, AArch64::FABSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1043 /* fabs */, AArch64::FABSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1043 /* fabs */, AArch64::FABSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1043 /* fabs */, AArch64::FABSv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1043 /* fabs */, AArch64::FABSv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1048 /* facge */, AArch64::FACGE16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1048 /* facge */, AArch64::FACGE32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1048 /* facge */, AArch64::FACGE64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1048 /* facge */, AArch64::FACGEv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1048 /* facge */, AArch64::FACGEv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1048 /* facge */, AArch64::FACGEv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1048 /* facge */, AArch64::FACGEv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1048 /* facge */, AArch64::FACGEv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1054 /* facgt */, AArch64::FACGT16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1054 /* facgt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1054 /* facgt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1054 /* facgt */, AArch64::FACGTv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1054 /* facgt */, AArch64::FACGTv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1054 /* facgt */, AArch64::FACGTv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1054 /* facgt */, AArch64::FACGTv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1054 /* facgt */, AArch64::FACGTv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1060 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1060 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1060 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1060 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1060 /* facle */, AArch64::FACGEv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1060 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1060 /* facle */, AArch64::FACGEv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1066 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1066 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1066 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1066 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1066 /* faclt */, AArch64::FACGTv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1066 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1066 /* faclt */, AArch64::FACGTv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1072 /* fadd */, AArch64::FADDHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1072 /* fadd */, AArch64::FADDSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1072 /* fadd */, AArch64::FADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1072 /* fadd */, AArch64::FADDv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1072 /* fadd */, AArch64::FADDv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1072 /* fadd */, AArch64::FADDv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1072 /* fadd */, AArch64::FADDv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1072 /* fadd */, AArch64::FADDv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 1083 /* faddp */, AArch64::FADDPv2i16p, Convert__FPRAsmOperandFPR161_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1083 /* faddp */, AArch64::FADDPv2i32p, Convert__FPRAsmOperandFPR321_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1083 /* faddp */, AArch64::FADDPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1083 /* faddp */, AArch64::FADDPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1083 /* faddp */, AArch64::FADDPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1083 /* faddp */, AArch64::FADDPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1083 /* faddp */, AArch64::FADDPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1083 /* faddp */, AArch64::FADDPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1095 /* fcadd */, AArch64::FCADDv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__ComplexRotationOdd1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__ComplexRotationOdd1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__ComplexRotationOdd1_6, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__ComplexRotationOdd1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__ComplexRotationOdd1_6, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 1101 /* fccmp */, AArch64::FCCMPHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
{ 1101 /* fccmp */, AArch64::FCCMPSrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 1101 /* fccmp */, AArch64::FCCMPDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPEHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPESrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPEDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv2f64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__ComplexRotationEven1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__ComplexRotationEven1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__ComplexRotationEven1_6, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv2f32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4__ComplexRotationEven1_6, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4__ComplexRotationEven1_6, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7, AMFBS_HasComplxNum_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv8f16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6__ComplexRotationEven1_7, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_11_6__ComplexRotationEven1_7, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPHrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1156 /* fcmp */, AArch64::FCMPSrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1156 /* fcmp */, AArch64::FCMPDrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1156 /* fcmp */, AArch64::FCMPHri, Convert__Reg1_0, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPSri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPDri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEHrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1161 /* fcmpe */, AArch64::FCMPESrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEDrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEHri, Convert__Reg1_0, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPESri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEDri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1178 /* fcsel */, AArch64::FCSELHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_CondCode }, },
{ 1178 /* fcsel */, AArch64::FCSELSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_CondCode }, },
{ 1178 /* fcsel */, AArch64::FCSELDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_CondCode }, },
{ 1184 /* fcvt */, AArch64::FCVTHSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR16, MCK_FPR32 }, },
{ 1184 /* fcvt */, AArch64::FCVTHDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR16, MCK_FPR64 }, },
{ 1184 /* fcvt */, AArch64::FCVTSHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR16 }, },
{ 1184 /* fcvt */, AArch64::FCVTSDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR64 }, },
{ 1184 /* fcvt */, AArch64::FCVTDHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR16 }, },
{ 1184 /* fcvt */, AArch64::FCVTDSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR32 }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1203 /* fcvtl */, AArch64::FCVTLv2i32, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1203 /* fcvtl */, AArch64::FCVTLv4i16, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1209 /* fcvtl2 */, AArch64::FCVTLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1209 /* fcvtl2 */, AArch64::FCVTLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1216 /* fcvtlt */, AArch64::FCVTLT_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1216 /* fcvtlt */, AArch64::FCVTLT_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1237 /* fcvtn */, AArch64::FCVTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1237 /* fcvtn */, AArch64::FCVTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1243 /* fcvtn2 */, AArch64::FCVTNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1243 /* fcvtn2 */, AArch64::FCVTNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1257 /* fcvtnt */, AArch64::FCVTNT_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1257 /* fcvtnt */, AArch64::FCVTNT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1285 /* fcvtx */, AArch64::FCVTX_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1291 /* fcvtxn */, AArch64::FCVTXNv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 1291 /* fcvtxn */, AArch64::FCVTXNv2f32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1298 /* fcvtxn2 */, AArch64::FCVTXNv4f32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1306 /* fcvtxnt */, AArch64::FCVTXNT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1328 /* fdiv */, AArch64::FDIVHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1328 /* fdiv */, AArch64::FDIVSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1328 /* fdiv */, AArch64::FDIVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1328 /* fdiv */, AArch64::FDIVv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1328 /* fdiv */, AArch64::FDIVv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1328 /* fdiv */, AArch64::FDIVv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1328 /* fdiv */, AArch64::FDIVv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1328 /* fdiv */, AArch64::FDIVv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_H, Convert__SVEVectorHReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPImm }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_S, Convert__SVEVectorSReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPImm }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_D, Convert__SVEVectorDReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPImm }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1350 /* fjcvtzs */, AArch64::FJCVTZS, Convert__Reg1_0__Reg1_1, AMFBS_HasJS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1369 /* fmadd */, AArch64::FMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1369 /* fmadd */, AArch64::FMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1369 /* fmadd */, AArch64::FMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1375 /* fmax */, AArch64::FMAXHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1375 /* fmax */, AArch64::FMAXSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1375 /* fmax */, AArch64::FMAXDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1375 /* fmax */, AArch64::FMAXv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1375 /* fmax */, AArch64::FMAXv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1375 /* fmax */, AArch64::FMAXv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1375 /* fmax */, AArch64::FMAXv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1375 /* fmax */, AArch64::FMAXv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i16p, Convert__FPRAsmOperandFPR161_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i32p, Convert__FPRAsmOperandFPR321_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i16p, Convert__FPRAsmOperandFPR161_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i32p, Convert__FPRAsmOperandFPR321_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1415 /* fmin */, AArch64::FMINHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1415 /* fmin */, AArch64::FMINSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1415 /* fmin */, AArch64::FMINDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1415 /* fmin */, AArch64::FMINv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1415 /* fmin */, AArch64::FMINv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1415 /* fmin */, AArch64::FMINv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1415 /* fmin */, AArch64::FMINv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1415 /* fmin */, AArch64::FMINv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1420 /* fminnm */, AArch64::FMINNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1420 /* fminnm */, AArch64::FMINNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1420 /* fminnm */, AArch64::FMINNMv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1420 /* fminnm */, AArch64::FMINNMv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1420 /* fminnm */, AArch64::FMINNMv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1420 /* fminnm */, AArch64::FMINNMv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i16p, Convert__FPRAsmOperandFPR161_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i32p, Convert__FPRAsmOperandFPR321_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1443 /* fminp */, AArch64::FMINPv2i16p, Convert__FPRAsmOperandFPR161_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1443 /* fminp */, AArch64::FMINPv2i32p, Convert__FPRAsmOperandFPR321_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1443 /* fminp */, AArch64::FMINPv2i64p, Convert__FPRAsmOperandFPR641_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1443 /* fminp */, AArch64::FMINPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1443 /* fminp */, AArch64::FMINPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1443 /* fminp */, AArch64::FMINPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1443 /* fminp */, AArch64::FMINPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1443 /* fminp */, AArch64::FMINPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1449 /* fminv */, AArch64::FMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1449 /* fminv */, AArch64::FMINVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1449 /* fminv */, AArch64::FMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i16_indexed, Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv2f64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1455 /* fmla */, AArch64::FMLAv4f32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1455 /* fmla */, AArch64::FMLAv8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1455 /* fmla */, AArch64::FMLAv2f32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1455 /* fmla */, AArch64::FMLAv4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1455 /* fmla */, AArch64::FMLAv2i64_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLAv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLAv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLAv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1460 /* fmlal */, AArch64::FMLALv8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4h }, },
{ 1460 /* fmlal */, AArch64::FMLALv4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1460 /* fmlal */, AArch64::FMLALlanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1460 /* fmlal */, AArch64::FMLALlanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2v8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4h }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2v4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2lanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2lanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1473 /* fmlalb */, AArch64::FMLALB_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1473 /* fmlalb */, AArch64::FMLALB_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1480 /* fmlalt */, AArch64::FMLALT_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1480 /* fmlalt */, AArch64::FMLALT_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i16_indexed, Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv2f64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1487 /* fmls */, AArch64::FMLSv4f32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1487 /* fmls */, AArch64::FMLSv8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1487 /* fmls */, AArch64::FMLSv2f32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1487 /* fmls */, AArch64::FMLSv4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1487 /* fmls */, AArch64::FMLSv2i64_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLSv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLSv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1492 /* fmlsl */, AArch64::FMLSLv8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4h }, },
{ 1492 /* fmlsl */, AArch64::FMLSLv4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1492 /* fmlsl */, AArch64::FMLSLlanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1492 /* fmlsl */, AArch64::FMLSLlanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2v8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4h }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2v4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg64, MCK__DOT_2h }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2lanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2lanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_71_6, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2h, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1505 /* fmlslb */, AArch64::FMLSLB_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1505 /* fmlslb */, AArch64::FMLSLB_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1512 /* fmlslt */, AArch64::FMLSLT_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1512 /* fmlslt */, AArch64::FMLSLT_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1519 /* fmov */, AArch64::FMOVHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVHi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVSi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVDi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVHWr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVSWr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVHXr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVDXr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_H, Convert__SVEVectorHReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_S, Convert__SVEVectorSReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_D, Convert__SVEVectorDReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__regWZR, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__regWZR, AMFBS_None, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__regXZR, AMFBS_None, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVv2f64_ns, Convert__VectorReg1281_0__FPImm1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv4f32_ns, Convert__VectorReg1281_0__FPImm1_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv8f16_ns, Convert__VectorReg1281_0__FPImm1_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv2f32_ns, Convert__VectorReg641_0__FPImm1_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv4f16_ns, Convert__VectorReg641_0__FPImm1_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVDXHighr, Convert__Reg1_0__VectorReg1281_1__IndexRange1_11_3, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange1_1 }, },
{ 1519 /* fmov */, AArch64::FMOVXDHighr, Convert__VectorReg1281_0__Reg1_3__IndexRange1_11_2, AMFBS_HasFPARMv8, { MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange1_1, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1529 /* fmsub */, AArch64::FMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1529 /* fmsub */, AArch64::FMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1529 /* fmsub */, AArch64::FMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1535 /* fmul */, AArch64::FMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1535 /* fmul */, AArch64::FMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1535 /* fmul */, AArch64::FMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv1i16_indexed, Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMULv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMULv1i64_indexed, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1535 /* fmul */, AArch64::FMULv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1535 /* fmul */, AArch64::FMULv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1535 /* fmul */, AArch64::FMULv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1535 /* fmul */, AArch64::FMULv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1535 /* fmul */, AArch64::FMULv2i64_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMULv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMULv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULX16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1540 /* fmulx */, AArch64::FMULX32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1540 /* fmulx */, AArch64::FMULX64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i16_indexed, Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i64_indexed, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__VectorReg1281_2__IndexRange0_11_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1540 /* fmulx */, AArch64::FMULXv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1540 /* fmulx */, AArch64::FMULXv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1540 /* fmulx */, AArch64::FMULXv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1540 /* fmulx */, AArch64::FMULXv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1540 /* fmulx */, AArch64::FMULXv2i64_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_11_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULXv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1546 /* fneg */, AArch64::FNEGHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1546 /* fneg */, AArch64::FNEGSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1546 /* fneg */, AArch64::FNEGDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1546 /* fneg */, AArch64::FNEGv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1546 /* fneg */, AArch64::FNEGv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1546 /* fneg */, AArch64::FNEGv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1546 /* fneg */, AArch64::FNEGv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1546 /* fneg */, AArch64::FNEGv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1557 /* fnmadd */, AArch64::FNMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1557 /* fnmadd */, AArch64::FNMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1557 /* fnmadd */, AArch64::FNMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1589 /* fnmul */, AArch64::FNMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1589 /* fnmul */, AArch64::FNMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1589 /* fnmul */, AArch64::FNMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1595 /* frecpe */, AArch64::FRECPEv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1595 /* frecpe */, AArch64::FRECPEv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1595 /* frecpe */, AArch64::FRECPEv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1595 /* frecpe */, AArch64::FRECPEv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1595 /* frecpe */, AArch64::FRECPEv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1602 /* frecps */, AArch64::FRECPS16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1602 /* frecps */, AArch64::FRECPS32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1602 /* frecps */, AArch64::FRECPS64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1602 /* frecps */, AArch64::FRECPSv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1602 /* frecps */, AArch64::FRECPSv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1602 /* frecps */, AArch64::FRECPSv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1602 /* frecps */, AArch64::FRECPSv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1602 /* frecps */, AArch64::FRECPSv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1616 /* frint32x */, AArch64::FRINT32XSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1616 /* frint32x */, AArch64::FRINT32XDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasFRInt3264, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1625 /* frint32z */, AArch64::FRINT32ZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1625 /* frint32z */, AArch64::FRINT32ZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasFRInt3264, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1634 /* frint64x */, AArch64::FRINT64XSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1634 /* frint64x */, AArch64::FRINT64XDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasFRInt3264, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1643 /* frint64z */, AArch64::FRINT64ZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1643 /* frint64z */, AArch64::FRINT64ZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasFRInt3264, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1652 /* frinta */, AArch64::FRINTAHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1652 /* frinta */, AArch64::FRINTASr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1652 /* frinta */, AArch64::FRINTADr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1652 /* frinta */, AArch64::FRINTAv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1652 /* frinta */, AArch64::FRINTAv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1652 /* frinta */, AArch64::FRINTAv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1652 /* frinta */, AArch64::FRINTAv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1652 /* frinta */, AArch64::FRINTAv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1659 /* frinti */, AArch64::FRINTIHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1659 /* frinti */, AArch64::FRINTISr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1659 /* frinti */, AArch64::FRINTIDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1659 /* frinti */, AArch64::FRINTIv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1659 /* frinti */, AArch64::FRINTIv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1659 /* frinti */, AArch64::FRINTIv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1659 /* frinti */, AArch64::FRINTIv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1659 /* frinti */, AArch64::FRINTIv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1666 /* frintm */, AArch64::FRINTMHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1666 /* frintm */, AArch64::FRINTMSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1666 /* frintm */, AArch64::FRINTMDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1666 /* frintm */, AArch64::FRINTMv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1666 /* frintm */, AArch64::FRINTMv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1666 /* frintm */, AArch64::FRINTMv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1666 /* frintm */, AArch64::FRINTMv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1666 /* frintm */, AArch64::FRINTMv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1673 /* frintn */, AArch64::FRINTNHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1673 /* frintn */, AArch64::FRINTNSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1673 /* frintn */, AArch64::FRINTNDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1673 /* frintn */, AArch64::FRINTNv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1673 /* frintn */, AArch64::FRINTNv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1673 /* frintn */, AArch64::FRINTNv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1673 /* frintn */, AArch64::FRINTNv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1673 /* frintn */, AArch64::FRINTNv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1680 /* frintp */, AArch64::FRINTPHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1680 /* frintp */, AArch64::FRINTPSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1680 /* frintp */, AArch64::FRINTPDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1680 /* frintp */, AArch64::FRINTPv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1680 /* frintp */, AArch64::FRINTPv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1680 /* frintp */, AArch64::FRINTPv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1680 /* frintp */, AArch64::FRINTPv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1680 /* frintp */, AArch64::FRINTPv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1687 /* frintx */, AArch64::FRINTXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1687 /* frintx */, AArch64::FRINTXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1687 /* frintx */, AArch64::FRINTXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1687 /* frintx */, AArch64::FRINTXv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1687 /* frintx */, AArch64::FRINTXv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1687 /* frintx */, AArch64::FRINTXv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1687 /* frintx */, AArch64::FRINTXv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1687 /* frintx */, AArch64::FRINTXv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1694 /* frintz */, AArch64::FRINTZHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1694 /* frintz */, AArch64::FRINTZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1694 /* frintz */, AArch64::FRINTZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1694 /* frintz */, AArch64::FRINTZv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1694 /* frintz */, AArch64::FRINTZv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1694 /* frintz */, AArch64::FRINTZv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1694 /* frintz */, AArch64::FRINTZv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1694 /* frintz */, AArch64::FRINTZv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRTHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUBHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1730 /* fsub */, AArch64::FSUBSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1730 /* fsub */, AArch64::FSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUBv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1730 /* fsub */, AArch64::FSUBv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1730 /* fsub */, AArch64::FSUBv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1730 /* fsub */, AArch64::FSUBv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1730 /* fsub */, AArch64::FSUBv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_7 }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_7 }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_7 }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1761 /* gmi */, AArch64::GMI, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64 }, },
{ 1765 /* hint */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_10 }, },
{ 1765 /* hint */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_12 }, },
{ 1765 /* hint */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_14 }, },
{ 1765 /* hint */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_24 }, },
{ 1765 /* hint */, AArch64::PACIASP, Convert_NoOperands, AMFBS_None, { MCK__HASH_25 }, },
{ 1765 /* hint */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_26 }, },
{ 1765 /* hint */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_None, { MCK__HASH_27 }, },
{ 1765 /* hint */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_28 }, },
{ 1765 /* hint */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_None, { MCK__HASH_29 }, },
{ 1765 /* hint */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_30 }, },
{ 1765 /* hint */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_None, { MCK__HASH_31 }, },
{ 1765 /* hint */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_None, { MCK__HASH_7 }, },
{ 1765 /* hint */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_8 }, },
{ 1765 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, AMFBS_None, { MCK_Imm0_127 }, },
{ 1770 /* histcnt */, AArch64::HISTCNT_ZPzZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1770 /* histcnt */, AArch64::HISTCNT_ZPzZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1778 /* histseg */, AArch64::HISTSEG_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 1786 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 1790 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1809 /* incp */, AArch64::INCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1819 /* index */, AArch64::INDEX_RR_H, Convert__SVEVectorHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_H, Convert__SVEVectorHReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_H, Convert__SVEVectorHReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_H, Convert__SVEVectorHReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_S, Convert__SVEVectorSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_S, Convert__SVEVectorSReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_S, Convert__SVEVectorSReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_S, Convert__SVEVectorSReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_D, Convert__SVEVectorDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64, MCK_GPR64 }, },
{ 1819 /* index */, AArch64::INDEX_RI_D, Convert__SVEVectorDReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_D, Convert__SVEVectorDReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SImm5, MCK_GPR64 }, },
{ 1819 /* index */, AArch64::INDEX_II_D, Convert__SVEVectorDReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_B, Convert__SVEVectorBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_B, Convert__SVEVectorBReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_B, Convert__SVEVectorBReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_B, Convert__SVEVectorBReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SImm5, MCK_SImm5 }, },
{ 1825 /* ins */, AArch64::INSvi8gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi64gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1, MCK_GPR64 }, },
{ 1825 /* ins */, AArch64::INSvi16gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi8lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 1825 /* ins */, AArch64::INSvi64lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 1825 /* ins */, AArch64::INSvi16lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 1825 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPR16 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPR64 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_FPR8 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32 }, },
{ 1834 /* irg */, AArch64::IRG, Convert__Reg1_0__Reg1_1__regXZR, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp }, },
{ 1834 /* irg */, AArch64::IRG, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64 }, },
{ 1838 /* isb */, AArch64::ISB, Convert__imm_95_15, AMFBS_None, { }, },
{ 1838 /* isb */, AArch64::ISB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8, Convert__TypedVectorList1_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i64, Convert__TypedVectorList1_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i16, Convert__TypedVectorList1_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i32, Convert__TypedVectorList1_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i64, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i16, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s8, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s8, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8, Convert__TypedVectorList2_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i64, Convert__TypedVectorList2_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i16, Convert__TypedVectorList2_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i32, Convert__TypedVectorList2_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i64, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i16, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1978 /* ld2b */, AArch64::LD2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1978 /* ld2b */, AArch64::LD2B, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1978 /* ld2b */, AArch64::LD2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1998 /* ld2w */, AArch64::LD2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1998 /* ld2w */, AArch64::LD2W, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1998 /* ld2w */, AArch64::LD2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8, Convert__TypedVectorList3_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i64, Convert__TypedVectorList3_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i16, Convert__TypedVectorList3_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i32, Convert__TypedVectorList3_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i64, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i16, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2007 /* ld3b */, AArch64::LD3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2007 /* ld3b */, AArch64::LD3B, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2007 /* ld3b */, AArch64::LD3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2027 /* ld3w */, AArch64::LD3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2027 /* ld3w */, AArch64::LD3W, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2027 /* ld3w */, AArch64::LD3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8, Convert__TypedVectorList4_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i64, Convert__TypedVectorList4_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i16, Convert__TypedVectorList4_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i32, Convert__TypedVectorList4_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i64, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i16, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2036 /* ld4b */, AArch64::LD4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2036 /* ld4b */, AArch64::LD4B, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2036 /* ld4b */, AArch64::LD4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2056 /* ld4w */, AArch64::LD4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2056 /* ld4w */, AArch64::LD4W, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2056 /* ld4w */, AArch64::LD4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2061 /* ldadd */, AArch64::LDADDW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2061 /* ldadd */, AArch64::LDADDX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2067 /* ldadda */, AArch64::LDADDAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2067 /* ldadda */, AArch64::LDADDAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2074 /* ldaddab */, AArch64::LDADDAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2082 /* ldaddah */, AArch64::LDADDAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2090 /* ldaddal */, AArch64::LDADDALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2090 /* ldaddal */, AArch64::LDADDALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2098 /* ldaddalb */, AArch64::LDADDALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2107 /* ldaddalh */, AArch64::LDADDALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2116 /* ldaddb */, AArch64::LDADDB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2123 /* ldaddh */, AArch64::LDADDH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2130 /* ldaddl */, AArch64::LDADDLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2130 /* ldaddl */, AArch64::LDADDLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2137 /* ldaddlb */, AArch64::LDADDLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2145 /* ldaddlh */, AArch64::LDADDLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2153 /* ldapr */, AArch64::LDAPRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2153 /* ldapr */, AArch64::LDAPRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2159 /* ldaprb */, AArch64::LDAPRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2166 /* ldaprh */, AArch64::LDAPRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2180 /* ldapurb */, AArch64::LDAPURBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2180 /* ldapurb */, AArch64::LDAPURBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2188 /* ldapurh */, AArch64::LDAPURHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2188 /* ldapurh */, AArch64::LDAPURHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2214 /* ldapursw */, AArch64::LDAPURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2214 /* ldapursw */, AArch64::LDAPURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2223 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2223 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2228 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2234 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2240 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2240 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2246 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2246 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2252 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2259 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2266 /* ldclr */, AArch64::LDCLRW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2266 /* ldclr */, AArch64::LDCLRX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2272 /* ldclra */, AArch64::LDCLRAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2272 /* ldclra */, AArch64::LDCLRAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2279 /* ldclrab */, AArch64::LDCLRAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2287 /* ldclrah */, AArch64::LDCLRAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2295 /* ldclral */, AArch64::LDCLRALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2295 /* ldclral */, AArch64::LDCLRALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2303 /* ldclralb */, AArch64::LDCLRALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2312 /* ldclralh */, AArch64::LDCLRALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2321 /* ldclrb */, AArch64::LDCLRB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2328 /* ldclrh */, AArch64::LDCLRH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2335 /* ldclrl */, AArch64::LDCLRLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2335 /* ldclrl */, AArch64::LDCLRLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2342 /* ldclrlb */, AArch64::LDCLRLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2350 /* ldclrlh */, AArch64::LDCLRLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2358 /* ldeor */, AArch64::LDEORW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2358 /* ldeor */, AArch64::LDEORX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2364 /* ldeora */, AArch64::LDEORAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2364 /* ldeora */, AArch64::LDEORAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2371 /* ldeorab */, AArch64::LDEORAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2379 /* ldeorah */, AArch64::LDEORAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2387 /* ldeoral */, AArch64::LDEORALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2387 /* ldeoral */, AArch64::LDEORALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2395 /* ldeoralb */, AArch64::LDEORALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2404 /* ldeoralh */, AArch64::LDEORALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2413 /* ldeorb */, AArch64::LDEORB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2420 /* ldeorh */, AArch64::LDEORH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2427 /* ldeorl */, AArch64::LDEORLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2427 /* ldeorl */, AArch64::LDEORLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2434 /* ldeorlb */, AArch64::LDEORLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2442 /* ldeorlh */, AArch64::LDEORLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted64, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted64, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2502 /* ldg */, AArch64::LDG, Convert__Reg1_0__Tie0_1_1__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2502 /* ldg */, AArch64::LDG, Convert__Reg1_0__Tie0_1_1__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 2506 /* ldgm */, AArch64::LDGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2511 /* ldlar */, AArch64::LDLARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2511 /* ldlar */, AArch64::LDLARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2517 /* ldlarb */, AArch64::LDLARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2524 /* ldlarh */, AArch64::LDLARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRR, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRR, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRR, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRR, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRR, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRR, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRR, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRR, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRSl, Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRDl, Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRQl, Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAindexed, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__EXCLAIM_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAindexed, Convert__Reg1_0__Reg1_2__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2660 /* ldrab */, AArch64::LDRABindexed, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2660 /* ldrab */, AArch64::LDRABwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__EXCLAIM_ }, },
{ 2660 /* ldrab */, AArch64::LDRABindexed, Convert__Reg1_0__Reg1_2__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_ }, },
{ 2660 /* ldrab */, AArch64::LDRABwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2694 /* ldset */, AArch64::LDSETW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2694 /* ldset */, AArch64::LDSETX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2700 /* ldseta */, AArch64::LDSETAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2700 /* ldseta */, AArch64::LDSETAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2707 /* ldsetab */, AArch64::LDSETAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2715 /* ldsetah */, AArch64::LDSETAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2723 /* ldsetal */, AArch64::LDSETALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2723 /* ldsetal */, AArch64::LDSETALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2731 /* ldsetalb */, AArch64::LDSETALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2740 /* ldsetalh */, AArch64::LDSETALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2749 /* ldsetb */, AArch64::LDSETB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2756 /* ldseth */, AArch64::LDSETH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2763 /* ldsetl */, AArch64::LDSETLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2763 /* ldsetl */, AArch64::LDSETLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2770 /* ldsetlb */, AArch64::LDSETLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2778 /* ldsetlh */, AArch64::LDSETLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2786 /* ldsmax */, AArch64::LDSMAXW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2786 /* ldsmax */, AArch64::LDSMAXX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2793 /* ldsmaxa */, AArch64::LDSMAXAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2793 /* ldsmaxa */, AArch64::LDSMAXAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2801 /* ldsmaxab */, AArch64::LDSMAXAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2810 /* ldsmaxah */, AArch64::LDSMAXAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2819 /* ldsmaxal */, AArch64::LDSMAXALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2819 /* ldsmaxal */, AArch64::LDSMAXALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2828 /* ldsmaxalb */, AArch64::LDSMAXALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2838 /* ldsmaxalh */, AArch64::LDSMAXALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2848 /* ldsmaxb */, AArch64::LDSMAXB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2856 /* ldsmaxh */, AArch64::LDSMAXH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2864 /* ldsmaxl */, AArch64::LDSMAXLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2864 /* ldsmaxl */, AArch64::LDSMAXLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2872 /* ldsmaxlb */, AArch64::LDSMAXLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2881 /* ldsmaxlh */, AArch64::LDSMAXLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2890 /* ldsmin */, AArch64::LDSMINW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2890 /* ldsmin */, AArch64::LDSMINX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2897 /* ldsmina */, AArch64::LDSMINAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2897 /* ldsmina */, AArch64::LDSMINAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2905 /* ldsminab */, AArch64::LDSMINAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2914 /* ldsminah */, AArch64::LDSMINAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2923 /* ldsminal */, AArch64::LDSMINALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2923 /* ldsminal */, AArch64::LDSMINALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2932 /* ldsminalb */, AArch64::LDSMINALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2942 /* ldsminalh */, AArch64::LDSMINALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2952 /* ldsminb */, AArch64::LDSMINB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2960 /* ldsminh */, AArch64::LDSMINH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2968 /* ldsminl */, AArch64::LDSMINLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2968 /* ldsminl */, AArch64::LDSMINLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2976 /* ldsminlb */, AArch64::LDSMINLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2985 /* ldsminlh */, AArch64::LDSMINLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3032 /* ldumax */, AArch64::LDUMAXW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3032 /* ldumax */, AArch64::LDUMAXX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3039 /* ldumaxa */, AArch64::LDUMAXAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3039 /* ldumaxa */, AArch64::LDUMAXAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3047 /* ldumaxab */, AArch64::LDUMAXAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3056 /* ldumaxah */, AArch64::LDUMAXAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3065 /* ldumaxal */, AArch64::LDUMAXALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3065 /* ldumaxal */, AArch64::LDUMAXALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3074 /* ldumaxalb */, AArch64::LDUMAXALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3084 /* ldumaxalh */, AArch64::LDUMAXALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3094 /* ldumaxb */, AArch64::LDUMAXB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3102 /* ldumaxh */, AArch64::LDUMAXH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3110 /* ldumaxl */, AArch64::LDUMAXLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3110 /* ldumaxl */, AArch64::LDUMAXLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3118 /* ldumaxlb */, AArch64::LDUMAXLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3127 /* ldumaxlh */, AArch64::LDUMAXLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3136 /* ldumin */, AArch64::LDUMINW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3136 /* ldumin */, AArch64::LDUMINX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3143 /* ldumina */, AArch64::LDUMINAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3143 /* ldumina */, AArch64::LDUMINAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3151 /* lduminab */, AArch64::LDUMINAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3160 /* lduminah */, AArch64::LDUMINAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3169 /* lduminal */, AArch64::LDUMINALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3169 /* lduminal */, AArch64::LDUMINALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3178 /* lduminalb */, AArch64::LDUMINALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3188 /* lduminalh */, AArch64::LDUMINALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3198 /* lduminb */, AArch64::LDUMINB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3206 /* lduminh */, AArch64::LDUMINH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3214 /* lduminl */, AArch64::LDUMINLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3214 /* lduminl */, AArch64::LDUMINLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3222 /* lduminlb */, AArch64::LDUMINLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3231 /* lduminlh */, AArch64::LDUMINLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3278 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3278 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3283 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3283 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3288 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3294 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3300 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3300 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm0_631_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3309 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3309 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3314 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3314 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 3314 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3314 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3323 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3323 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3332 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3332 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3337 /* match */, AArch64::MATCH_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3337 /* match */, AArch64::MATCH_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3343 /* mla */, AArch64::MLAv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3343 /* mla */, AArch64::MLAv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3343 /* mla */, AArch64::MLAv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3343 /* mla */, AArch64::MLAv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3343 /* mla */, AArch64::MLAv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3343 /* mla */, AArch64::MLAv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3343 /* mla */, AArch64::MLAv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLAv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3343 /* mla */, AArch64::MLAv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLAv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3347 /* mls */, AArch64::MLSv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3347 /* mls */, AArch64::MLSv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3347 /* mls */, AArch64::MLSv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3347 /* mls */, AArch64::MLSv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3347 /* mls */, AArch64::MLSv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3347 /* mls */, AArch64::MLSv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3347 /* mls */, AArch64::MLSv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLSv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLSv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLSv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3351 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3351 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly }, },
{ 3356 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, AMFBS_None, { MCK_GPR32sp, MCK_LogicalImm32 }, },
{ 3356 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
{ 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly }, },
{ 3356 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, AMFBS_None, { MCK_GPR64sp, MCK_LogicalImm64 }, },
{ 3356 /* mov */, AArch64::ORR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__FPR128asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_FPR128asZPR }, },
{ 3356 /* mov */, AArch64::DUP_ZR_H, Convert__SVEVectorHReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__SVECpyImm162_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__FPR16asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPR16asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorHReg1_0__SVEPreferredLogicalImm161_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPreferredLogicalImm16 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_S, Convert__SVEVectorSReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__SVECpyImm322_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__FPR32asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPR32asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorSReg1_0__SVEPreferredLogicalImm321_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPreferredLogicalImm32 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_D, Convert__SVEVectorDReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__SVECpyImm642_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::ORR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__FPR64asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPR64asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorDReg1_0__SVEPreferredLogicalImm641_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPreferredLogicalImm64 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_B, Convert__SVEVectorBReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_B, Convert__SVEVectorBReg1_0__SVECpyImm82_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVECpyImm8 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__FPR8asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_FPR8asZPR }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_SVEVectorQReg, MCK_SVEIndexRange0_3 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEIndexRange0_31 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEIndexRange0_15 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEIndexRange0_7 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEIndexRange0_63 }, },
{ 3356 /* mov */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3356 /* mov */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3356 /* mov */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 3356 /* mov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3356 /* mov */, AArch64::UMOVvi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3356 /* mov */, AArch64::INSvi8gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::INSvi64gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::INSvi16gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_1d }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3356 /* mov */, AArch64::SEL_PPPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::AND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR16 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR32 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR64 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR8 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm8 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm8 }, },
{ 3356 /* mov */, AArch64::INSvi8lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 3356 /* mov */, AArch64::INSvi64lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::INSvi16lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3356 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0_1_1__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_5, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3360 /* movi */, AArch64::MOVID, Convert__Reg1_0__SIMDImmType101_1, AMFBS_HasNEON, { MCK_FPR64, MCK_SIMDImmType10 }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv16b_ns, Convert__VectorReg1281_0__Imm0_2551_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv2d_ns, Convert__VectorReg1281_0__SIMDImmType101_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_SIMDImmType10 }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8b_ns, Convert__VectorReg641_0__Imm0_2551_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv4s_msl, Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv2s_msl, Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZZ, Convert__SVEVectorAnyReg1_0__SVEVectorAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK_SVEVectorAnyReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg }, },
{ 3383 /* movs */, AArch64::ORRS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3383 /* movs */, AArch64::ANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3393 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, AMFBS_None, { MCK_GPR64, MCK_MRSSystemRegister }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3401 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, AMFBS_None, { MCK_MSRSystemRegister, MCK_GPR64 }, },
{ 3401 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
{ 3401 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
{ 3405 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3405 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3410 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3410 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3410 /* mul */, AArch64::MUL_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3410 /* mul */, AArch64::MULv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3410 /* mul */, AArch64::MULv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3410 /* mul */, AArch64::MULv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3410 /* mul */, AArch64::MULv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3410 /* mul */, AArch64::MULv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3410 /* mul */, AArch64::MULv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3410 /* mul */, AArch64::MULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MULv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3410 /* mul */, AArch64::MULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MULv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3414 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_None, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3414 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_None, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv4s_msl, Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv2s_msl, Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3423 /* nand */, AArch64::NAND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3428 /* nands */, AArch64::NANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3434 /* nbsl */, AArch64::NBSL_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3439 /* neg */, AArch64::NEGv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 3439 /* neg */, AArch64::NEGv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3439 /* neg */, AArch64::NEGv2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3439 /* neg */, AArch64::NEGv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3439 /* neg */, AArch64::NEGv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3439 /* neg */, AArch64::NEGv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3439 /* neg */, AArch64::NEGv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3439 /* neg */, AArch64::NEGv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 3448 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3448 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3452 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3452 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3457 /* nmatch */, AArch64::NMATCH_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3457 /* nmatch */, AArch64::NMATCH_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3464 /* nop */, AArch64::HINT, Convert__imm_95_0, AMFBS_None, { }, },
{ 3468 /* nor */, AArch64::NOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3472 /* nors */, AArch64::NORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3477 /* not */, AArch64::NOTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3477 /* not */, AArch64::NOTv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3477 /* not */, AArch64::EOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3481 /* nots */, AArch64::EORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3486 /* orn */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3486 /* orn */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3486 /* orn */, AArch64::ORN_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3486 /* orn */, AArch64::ORNv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3486 /* orn */, AArch64::ORNv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3490 /* orns */, AArch64::ORNS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3495 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3495 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3495 /* orr */, AArch64::ORR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3495 /* orr */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3495 /* orr */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3499 /* orrs */, AArch64::ORRS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 3508 /* pacda */, AArch64::PACDA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3514 /* pacdb */, AArch64::PACDB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3520 /* pacdza */, AArch64::PACDZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3527 /* pacdzb */, AArch64::PACDZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3534 /* pacga */, AArch64::PACGA, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64, MCK_GPR64sp }, },
{ 3540 /* pacia */, AArch64::PACIA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3546 /* pacia1716 */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3556 /* paciasp */, AArch64::PACIASP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3564 /* paciaz */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3571 /* pacib */, AArch64::PACIB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3577 /* pacib1716 */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3587 /* pacibsp */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3595 /* pacibz */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3602 /* paciza */, AArch64::PACIZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3609 /* pacizb */, AArch64::PACIZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3616 /* pfalse */, AArch64::PFALSE, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3623 /* pfirst */, AArch64::PFIRST_B, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3630 /* pmul */, AArch64::PMUL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3630 /* pmul */, AArch64::PMULv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3630 /* pmul */, AArch64::PMULv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3635 /* pmull */, AArch64::PMULLv1i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_1q, MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_1d }, },
{ 3635 /* pmull */, AArch64::PMULLv8i8, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3641 /* pmull2 */, AArch64::PMULLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasAES, { MCK_VectorReg128, MCK__DOT_1q, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3641 /* pmull2 */, AArch64::PMULLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_Q, Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorQReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_Q, Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorQReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_H, Convert__SVEPredicateHReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateHReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_S, Convert__SVEPredicateSReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateSReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_D, Convert__SVEPredicateDReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateDReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_B, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3668 /* prfb */, AArch64::PRFB_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3264, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3264, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s8, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, AMFBS_None, { MCK_Prefetch, MCK_PCRelLabel19 }, },
{ 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFS_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3699 /* psb */, AArch64::HINT, Convert__PSBHint1_0, AMFBS_HasSPE, { MCK_PSBHint }, },
{ 3703 /* pssbb */, AArch64::DSB, Convert__imm_95_4, AMFBS_None, { }, },
{ 3709 /* ptest */, AArch64::PTEST_PP, Convert__SVEPredicateAnyReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_H, Convert__SVEPredicateHReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateHReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_S, Convert__SVEPredicateSReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateSReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_D, Convert__SVEPredicateDReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateDReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_B, Convert__SVEPredicateBReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_H, Convert__SVEPredicateHReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_S, Convert__SVEPredicateSReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_D, Convert__SVEPredicateDReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_B, Convert__SVEPredicateBReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_H, Convert__SVEPredicateHReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateHReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_S, Convert__SVEPredicateSReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateSReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_D, Convert__SVEPredicateDReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateDReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_B, Convert__SVEPredicateBReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_H, Convert__SVEPredicateHReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_S, Convert__SVEPredicateSReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_D, Convert__SVEPredicateDReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_B, Convert__SVEPredicateBReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPattern }, },
{ 3728 /* punpkhi */, AArch64::PUNPKHI_PP, Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateBReg }, },
{ 3736 /* punpklo */, AArch64::PUNPKLO_PP, Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateBReg }, },
{ 3744 /* raddhn */, AArch64::RADDHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3744 /* raddhn */, AArch64::RADDHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3744 /* raddhn */, AArch64::RADDHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3775 /* rax1 */, AArch64::RAX1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2SHA3, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3775 /* rax1 */, AArch64::RAX1, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3780 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3780 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3780 /* rbit */, AArch64::RBITv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3780 /* rbit */, AArch64::RBITv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3785 /* rdffr */, AArch64::RDFFR_P, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3785 /* rdffr */, AArch64::RDFFR_PPz, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z }, },
{ 3791 /* rdffrs */, AArch64::RDFFRS_PPz, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z }, },
{ 3798 /* rdvl */, AArch64::RDVLI_XI, Convert__Reg1_0__SImm61_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SImm6 }, },
{ 3803 /* ret */, AArch64::RET, Convert__regLR, AMFBS_None, { }, },
{ 3803 /* ret */, AArch64::RET, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 3807 /* retaa */, AArch64::RETAA, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3813 /* retab */, AArch64::RETAB, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3819 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3819 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3819 /* rev */, AArch64::REV_PP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 3819 /* rev */, AArch64::REV_PP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 3819 /* rev */, AArch64::REV_PP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 3819 /* rev */, AArch64::REV_PP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3823 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3823 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3823 /* rev16 */, AArch64::REV16v16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3823 /* rev16 */, AArch64::REV16v8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3829 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3829 /* rev32 */, AArch64::REV32v16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3829 /* rev32 */, AArch64::REV32v8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3829 /* rev32 */, AArch64::REV32v4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3829 /* rev32 */, AArch64::REV32v8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3835 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3835 /* rev64 */, AArch64::REV64v16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3835 /* rev64 */, AArch64::REV64v4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3835 /* rev64 */, AArch64::REV64v8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3835 /* rev64 */, AArch64::REV64v2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3835 /* rev64 */, AArch64::REV64v4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3835 /* rev64 */, AArch64::REV64v8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3846 /* revh */, AArch64::REVH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3846 /* revh */, AArch64::REVH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3851 /* revw */, AArch64::REVW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3856 /* rmif */, AArch64::RMIF, Convert__Reg1_0__UImm61_1__Imm0_151_2, AMFBS_HasFMI, { MCK_GPR64, MCK_UImm6, MCK_Imm0_15 }, },
{ 3861 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3861 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 3861 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3861 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 3865 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3865 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3928 /* saba */, AArch64::SABAv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3928 /* saba */, AArch64::SABAv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3928 /* saba */, AArch64::SABAv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3928 /* saba */, AArch64::SABAv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3928 /* saba */, AArch64::SABAv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3928 /* saba */, AArch64::SABAv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3933 /* sabal */, AArch64::SABALv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3933 /* sabal */, AArch64::SABALv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3933 /* sabal */, AArch64::SABALv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3939 /* sabal2 */, AArch64::SABALv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3939 /* sabal2 */, AArch64::SABALv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3939 /* sabal2 */, AArch64::SABALv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3960 /* sabd */, AArch64::SABDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3960 /* sabd */, AArch64::SABDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3960 /* sabd */, AArch64::SABDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3960 /* sabd */, AArch64::SABDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3960 /* sabd */, AArch64::SABDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3960 /* sabd */, AArch64::SABDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3965 /* sabdl */, AArch64::SABDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3965 /* sabdl */, AArch64::SABDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3965 /* sabdl */, AArch64::SABDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3992 /* sadalp */, AArch64::SADALPv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 3992 /* sadalp */, AArch64::SADALPv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 3992 /* sadalp */, AArch64::SADALPv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 3992 /* sadalp */, AArch64::SADALPv2i32_v1i64, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3992 /* sadalp */, AArch64::SADALPv4i16_v2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3992 /* sadalp */, AArch64::SADALPv8i8_v4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3999 /* saddl */, AArch64::SADDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 3999 /* saddl */, AArch64::SADDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 3999 /* saddl */, AArch64::SADDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4005 /* saddl2 */, AArch64::SADDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4005 /* saddl2 */, AArch64::SADDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4005 /* saddl2 */, AArch64::SADDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4027 /* saddlp */, AArch64::SADDLPv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4027 /* saddlp */, AArch64::SADDLPv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4027 /* saddlp */, AArch64::SADDLPv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4027 /* saddlp */, AArch64::SADDLPv2i32_v1i64, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4027 /* saddlp */, AArch64::SADDLPv4i16_v2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4027 /* saddlp */, AArch64::SADDLPv8i8_v4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4041 /* saddlv */, AArch64::SADDLVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4041 /* saddlv */, AArch64::SADDLVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4041 /* saddlv */, AArch64::SADDLVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4041 /* saddlv */, AArch64::SADDLVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4041 /* saddlv */, AArch64::SADDLVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4054 /* saddw */, AArch64::SADDWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4054 /* saddw */, AArch64::SADDWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4054 /* saddw */, AArch64::SADDWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4060 /* saddw2 */, AArch64::SADDWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4060 /* saddw2 */, AArch64::SADDWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4060 /* saddw2 */, AArch64::SADDWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 4081 /* sb */, AArch64::SB, Convert_NoOperands, AMFBS_HasSB, { }, },
{ 4084 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4084 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4088 /* sbclb */, AArch64::SBCLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4088 /* sbclb */, AArch64::SBCLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4094 /* sbclt */, AArch64::SBCLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4094 /* sbclt */, AArch64::SBCLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4100 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4100 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4105 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 4105 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4110 /* scvtf */, AArch64::SCVTFv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4116 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4116 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4116 /* sdiv */, AArch64::SDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4116 /* sdiv */, AArch64::SDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4121 /* sdivr */, AArch64::SDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4121 /* sdivr */, AArch64::SDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3 }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1 }, },
{ 4127 /* sdot */, AArch64::SDOTv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasDotProd, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4127 /* sdot */, AArch64::SDOTv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasDotProd, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4127 /* sdot */, AArch64::SDOTlanev16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasDotProd, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_4b, MCK_IndexRange0_3 }, },
{ 4127 /* sdot */, AArch64::SDOTlanev8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasDotProd, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_4b, MCK_IndexRange0_3 }, },
{ 4132 /* sel */, AArch64::SEL_PPPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2__SVEPredicateBReg1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4136 /* setf16 */, AArch64::SETF16, Convert__Reg1_0, AMFBS_HasFMI, { MCK_GPR32 }, },
{ 4143 /* setf8 */, AArch64::SETF8, Convert__Reg1_0, AMFBS_HasFMI, { MCK_GPR32 }, },
{ 4149 /* setffr */, AArch64::SETFFR, Convert_NoOperands, AMFBS_HasSVE, { }, },
{ 4156 /* sev */, AArch64::HINT, Convert__imm_95_4, AMFBS_None, { }, },
{ 4160 /* sevl */, AArch64::HINT, Convert__imm_95_5, AMFBS_None, { }, },
{ 4165 /* sha1c */, AArch64::SHA1Crrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4171 /* sha1h */, AArch64::SHA1Hrr, Convert__Reg1_0__Reg1_1, AMFBS_HasSHA2, { MCK_FPR32, MCK_FPR32 }, },
{ 4177 /* sha1m */, AArch64::SHA1Mrrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4183 /* sha1p */, AArch64::SHA1Prrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4189 /* sha1su0 */, AArch64::SHA1SU0rrr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSHA2, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4197 /* sha1su1 */, AArch64::SHA1SU1rr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4205 /* sha256h */, AArch64::SHA256Hrrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4213 /* sha256h2 */, AArch64::SHA256H2rrr, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4222 /* sha256su0 */, AArch64::SHA256SU0rr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasSHA2, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4232 /* sha256su1 */, AArch64::SHA256SU1rrr, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSHA2, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4242 /* sha512h */, AArch64::SHA512H, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA3, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4250 /* sha512h2 */, AArch64::SHA512H2, Convert__Reg1_0__Tie0_1_1__Reg1_1__VectorReg1281_2, AMFBS_HasSHA3, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4259 /* sha512su0 */, AArch64::SHA512SU0, Convert__VectorReg1281_0__VectorReg1281_2__Tie0_1_1, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4269 /* sha512su1 */, AArch64::SHA512SU1, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4279 /* shadd */, AArch64::SHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4279 /* shadd */, AArch64::SHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4279 /* shadd */, AArch64::SHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4279 /* shadd */, AArch64::SHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4279 /* shadd */, AArch64::SHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4279 /* shadd */, AArch64::SHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4285 /* shl */, AArch64::SHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4285 /* shl */, AArch64::SHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 4285 /* shl */, AArch64::SHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
{ 4285 /* shl */, AArch64::SHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 4285 /* shl */, AArch64::SHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 4285 /* shl */, AArch64::SHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 4285 /* shl */, AArch64::SHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 4285 /* shl */, AArch64::SHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 4289 /* shll */, AArch64::SHLLv2i32, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_32 }, },
{ 4289 /* shll */, AArch64::SHLLv4i16, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_16 }, },
{ 4289 /* shll */, AArch64::SHLLv8i8, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK__HASH_8 }, },
{ 4294 /* shll2 */, AArch64::SHLLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_32 }, },
{ 4294 /* shll2 */, AArch64::SHLLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_16 }, },
{ 4294 /* shll2 */, AArch64::SHLLv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK__HASH_8 }, },
{ 4300 /* shrn */, AArch64::SHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4300 /* shrn */, AArch64::SHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4300 /* shrn */, AArch64::SHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4323 /* shsub */, AArch64::SHSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4323 /* shsub */, AArch64::SHSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4323 /* shsub */, AArch64::SHSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4323 /* shsub */, AArch64::SHSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4323 /* shsub */, AArch64::SHSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4323 /* shsub */, AArch64::SHSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4336 /* sli */, AArch64::SLId, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm0_631_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4336 /* sli */, AArch64::SLIv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 4336 /* sli */, AArch64::SLIv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_631_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLIv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLIv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 4336 /* sli */, AArch64::SLIv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLIv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 4336 /* sli */, AArch64::SLIv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 4340 /* sm3partw1 */, AArch64::SM3PARTW1, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4350 /* sm3partw2 */, AArch64::SM3PARTW2, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4360 /* sm3ss1 */, AArch64::SM3SS1, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorReg1281_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4367 /* sm3tt1a */, AArch64::SM3TT1A, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4375 /* sm3tt1b */, AArch64::SM3TT1B, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4383 /* sm3tt2a */, AArch64::SM3TT2A, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4391 /* sm3tt2b */, AArch64::SM3TT2B, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4399 /* sm4e */, AArch64::SM4E_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2, AMFBS_HasSVE2SM4, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4399 /* sm4e */, AArch64::SM4E, Convert__VectorReg1281_0__VectorReg1281_2__Tie0_1_1, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4404 /* sm4ekey */, AArch64::SM4EKEY_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2SM4, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4404 /* sm4ekey */, AArch64::SM4ENCKEY, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasSM4, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4412 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAXv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4419 /* smax */, AArch64::SMAXv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4419 /* smax */, AArch64::SMAXv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4419 /* smax */, AArch64::SMAXv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4419 /* smax */, AArch64::SMAXv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4419 /* smax */, AArch64::SMAXv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4424 /* smaxp */, AArch64::SMAXPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4424 /* smaxp */, AArch64::SMAXPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4424 /* smaxp */, AArch64::SMAXPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4424 /* smaxp */, AArch64::SMAXPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4424 /* smaxp */, AArch64::SMAXPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4424 /* smaxp */, AArch64::SMAXPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4430 /* smaxv */, AArch64::SMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4430 /* smaxv */, AArch64::SMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4430 /* smaxv */, AArch64::SMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4430 /* smaxv */, AArch64::SMAXVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4430 /* smaxv */, AArch64::SMAXVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4436 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMINv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4440 /* smin */, AArch64::SMINv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4440 /* smin */, AArch64::SMINv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4440 /* smin */, AArch64::SMINv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4440 /* smin */, AArch64::SMINv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4440 /* smin */, AArch64::SMINv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4445 /* sminp */, AArch64::SMINPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4445 /* sminp */, AArch64::SMINPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4445 /* sminp */, AArch64::SMINPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4445 /* sminp */, AArch64::SMINPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4445 /* sminp */, AArch64::SMINPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4445 /* sminp */, AArch64::SMINPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4451 /* sminv */, AArch64::SMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4451 /* sminv */, AArch64::SMINVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4451 /* sminv */, AArch64::SMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4451 /* sminv */, AArch64::SMINVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4451 /* sminv */, AArch64::SMINVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4457 /* smlal */, AArch64::SMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4457 /* smlal */, AArch64::SMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4457 /* smlal */, AArch64::SMLALv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4457 /* smlal */, AArch64::SMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4457 /* smlal */, AArch64::SMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4463 /* smlal2 */, AArch64::SMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4463 /* smlal2 */, AArch64::SMLALv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4463 /* smlal2 */, AArch64::SMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4484 /* smlsl */, AArch64::SMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4484 /* smlsl */, AArch64::SMLSLv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4484 /* smlsl */, AArch64::SMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4511 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 4518 /* smov */, AArch64::SMOVvi8to32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 4518 /* smov */, AArch64::SMOVvi16to32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4518 /* smov */, AArch64::SMOVvi8to64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 4518 /* smov */, AArch64::SMOVvi16to64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4518 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4523 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 4530 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4536 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 4536 /* smull */, AArch64::SMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4536 /* smull */, AArch64::SMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4536 /* smull */, AArch64::SMULLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4536 /* smull */, AArch64::SMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4536 /* smull */, AArch64::SMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4542 /* smull2 */, AArch64::SMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4542 /* smull2 */, AArch64::SMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4542 /* smull2 */, AArch64::SMULLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4542 /* smull2 */, AArch64::SMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4542 /* smull2 */, AArch64::SMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList216 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList232 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList264 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList281_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList28 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 4570 /* sqabs */, AArch64::SQABSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4570 /* sqabs */, AArch64::SQABSv2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4570 /* sqabs */, AArch64::SQABSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4570 /* sqabs */, AArch64::SQABSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4570 /* sqabs */, AArch64::SQABSv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4570 /* sqabs */, AArch64::SQABSv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4570 /* sqabs */, AArch64::SQABSv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4576 /* sqadd */, AArch64::SQADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4576 /* sqadd */, AArch64::SQADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4576 /* sqadd */, AArch64::SQADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4576 /* sqadd */, AArch64::SQADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4576 /* sqadd */, AArch64::SQADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4576 /* sqadd */, AArch64::SQADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4576 /* sqadd */, AArch64::SQADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationOdd }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg, MCK_GPR64as32 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALi16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALi32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLi16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLi32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__FPRAsmOperandFPR641_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i16_indexed, Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLi16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLi32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg, MCK_GPR64as32 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv16i8, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4792 /* sqneg */, AArch64::SQNEGv2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4792 /* sqneg */, AArch64::SQNEGv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4792 /* sqneg */, AArch64::SQNEGv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4792 /* sqneg */, AArch64::SQNEGv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4792 /* sqneg */, AArch64::SQNEGv4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4792 /* sqneg */, AArch64::SQNEGv8i8, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHi16_indexed, Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi16_indexed, Convert__FPRAsmOperandFPR161_0__Tie0_1_1__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__FPRAsmOperandFPR321_0__Tie0_1_1__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16_indexed, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON_HasRDM, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i16_indexed, Convert__FPRAsmOperandFPR161_0__FPRAsmOperandFPR161_1__VectorRegLo1_2__IndexRange0_71_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__VectorReg1281_2__IndexRange0_31_4, AMFBS_HasNEON, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4924 /* sqshl */, AArch64::SQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4924 /* sqshl */, AArch64::SQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4924 /* sqshl */, AArch64::SQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4924 /* sqshl */, AArch64::SQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5010 /* sqsub */, AArch64::SQSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5010 /* sqsub */, AArch64::SQSUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5010 /* sqsub */, AArch64::SQSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5010 /* sqsub */, AArch64::SQSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5010 /* sqsub */, AArch64::SQSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5010 /* sqsub */, AArch64::SQSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5010 /* sqsub */, AArch64::SQSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv8i8, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5081 /* srhadd */, AArch64::SRHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5081 /* srhadd */, AArch64::SRHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5081 /* srhadd */, AArch64::SRHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5081 /* srhadd */, AArch64::SRHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5081 /* srhadd */, AArch64::SRHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5081 /* srhadd */, AArch64::SRHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5088 /* sri */, AArch64::SRId, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5088 /* sri */, AArch64::SRIv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 5088 /* sri */, AArch64::SRIv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRIv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRIv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 5088 /* sri */, AArch64::SRIv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRIv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 5088 /* sri */, AArch64::SRIv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 5092 /* srshl */, AArch64::SRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5092 /* srshl */, AArch64::SRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5092 /* srshl */, AArch64::SRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5092 /* srshl */, AArch64::SRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5092 /* srshl */, AArch64::SRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5092 /* srshl */, AArch64::SRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5092 /* srshl */, AArch64::SRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5092 /* srshl */, AArch64::SRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5105 /* srshr */, AArch64::SRSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 5105 /* srshr */, AArch64::SRSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRAv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRAv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 5111 /* srsra */, AArch64::SRSRAv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRAv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 5111 /* srsra */, AArch64::SRSRAv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 5117 /* ssbb */, AArch64::DSB, Convert__imm_95_0, AMFBS_None, { }, },
{ 5122 /* sshl */, AArch64::SSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5122 /* sshl */, AArch64::SSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5122 /* sshl */, AArch64::SSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5122 /* sshl */, AArch64::SSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5122 /* sshl */, AArch64::SSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5122 /* sshl */, AArch64::SSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5122 /* sshl */, AArch64::SSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5122 /* sshl */, AArch64::SSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5127 /* sshll */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 5127 /* sshll */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 5127 /* sshll */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 5154 /* sshr */, AArch64::SSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5154 /* sshr */, AArch64::SSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 5154 /* sshr */, AArch64::SSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 5154 /* sshr */, AArch64::SSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 5154 /* sshr */, AArch64::SSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 5154 /* sshr */, AArch64::SSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 5154 /* sshr */, AArch64::SSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 5154 /* sshr */, AArch64::SSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRAv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRAv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRAv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRAv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRAv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 5164 /* ssubl */, AArch64::SSUBLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5164 /* ssubl */, AArch64::SSUBLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5164 /* ssubl */, AArch64::SSUBLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5207 /* ssubw */, AArch64::SSUBWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5207 /* ssubw */, AArch64::SSUBWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5207 /* ssubw */, AArch64::SSUBWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8, Convert__TypedVectorList1_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i64, Convert__TypedVectorList1_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i16, Convert__TypedVectorList1_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i32, Convert__TypedVectorList1_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8, Convert__VecListOne1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i64, Convert__VecListOne1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i16, Convert__VecListOne1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8, Convert__TypedVectorList2_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i64, Convert__TypedVectorList2_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i16, Convert__TypedVectorList2_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i32, Convert__TypedVectorList2_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8, Convert__VecListTwo1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i64, Convert__VecListTwo1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i16, Convert__VecListTwo1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5262 /* st2b */, AArch64::ST2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5262 /* st2b */, AArch64::ST2B, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5262 /* st2b */, AArch64::ST2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5272 /* st2g */, AArch64::ST2GOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5277 /* st2h */, AArch64::ST2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5277 /* st2h */, AArch64::ST2H, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5277 /* st2h */, AArch64::ST2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8, Convert__TypedVectorList3_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i64, Convert__TypedVectorList3_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i16, Convert__TypedVectorList3_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i32, Convert__TypedVectorList3_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8, Convert__VecListThree1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i64, Convert__VecListThree1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i16, Convert__VecListThree1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5291 /* st3b */, AArch64::ST3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5291 /* st3b */, AArch64::ST3B, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5291 /* st3b */, AArch64::ST3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8, Convert__TypedVectorList4_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i64, Convert__TypedVectorList4_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i16, Convert__TypedVectorList4_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i32, Convert__TypedVectorList4_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8, Convert__VecListFour1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i64, Convert__VecListFour1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i16, Convert__VecListFour1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5315 /* st4b */, AArch64::ST4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5315 /* st4b */, AArch64::ST4B, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5315 /* st4b */, AArch64::ST4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5335 /* stadd */, AArch64::LDADDW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5335 /* stadd */, AArch64::LDADDX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5341 /* staddb */, AArch64::LDADDB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5348 /* staddh */, AArch64::LDADDH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5355 /* staddl */, AArch64::LDADDLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5355 /* staddl */, AArch64::LDADDLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5362 /* staddlb */, AArch64::LDADDLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5370 /* staddlh */, AArch64::LDADDLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5378 /* stclr */, AArch64::LDCLRW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5378 /* stclr */, AArch64::LDCLRX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5384 /* stclrb */, AArch64::LDCLRB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5391 /* stclrh */, AArch64::LDCLRH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5398 /* stclrl */, AArch64::LDCLRLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5398 /* stclrl */, AArch64::LDCLRLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5405 /* stclrlb */, AArch64::LDCLRLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5413 /* stclrlh */, AArch64::LDCLRLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5421 /* steor */, AArch64::LDEORW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5421 /* steor */, AArch64::LDEORX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5427 /* steorb */, AArch64::LDEORB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5434 /* steorh */, AArch64::LDEORH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5441 /* steorl */, AArch64::LDEORLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5441 /* steorl */, AArch64::LDEORLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5448 /* steorlb */, AArch64::LDEORLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5456 /* steorlh */, AArch64::LDEORLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5464 /* stg */, AArch64::STGOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5468 /* stgm */, AArch64::STGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_5, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 5473 /* stgp */, AArch64::STGPi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_4, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5478 /* stllr */, AArch64::STLLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5478 /* stllr */, AArch64::STLLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5484 /* stllrb */, AArch64::STLLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5491 /* stllrh */, AArch64::STLLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5498 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5498 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5503 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5509 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5521 /* stlurb */, AArch64::STLURBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5521 /* stlurb */, AArch64::STLURBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5528 /* stlurh */, AArch64::STLURHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5528 /* stlurh */, AArch64::STLURHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5535 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5535 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5541 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5541 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5547 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5554 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRR, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRR, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRR, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRR, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRR, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRR, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRR, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRR, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5612 /* stset */, AArch64::LDSETW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5612 /* stset */, AArch64::LDSETX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5618 /* stsetb */, AArch64::LDSETB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5625 /* stseth */, AArch64::LDSETH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5632 /* stsetl */, AArch64::LDSETLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5632 /* stsetl */, AArch64::LDSETLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5639 /* stsetlb */, AArch64::LDSETLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5647 /* stsetlh */, AArch64::LDSETLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5655 /* stsmax */, AArch64::LDSMAXW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5655 /* stsmax */, AArch64::LDSMAXX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5662 /* stsmaxb */, AArch64::LDSMAXB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5670 /* stsmaxh */, AArch64::LDSMAXH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5678 /* stsmaxl */, AArch64::LDSMAXLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5678 /* stsmaxl */, AArch64::LDSMAXLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5686 /* stsmaxlb */, AArch64::LDSMAXLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5695 /* stsmaxlh */, AArch64::LDSMAXLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5704 /* stsmin */, AArch64::LDSMINW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5704 /* stsmin */, AArch64::LDSMINX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5711 /* stsminb */, AArch64::LDSMINB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5719 /* stsminh */, AArch64::LDSMINH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5727 /* stsminl */, AArch64::LDSMINLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5727 /* stsminl */, AArch64::LDSMINLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5735 /* stsminlb */, AArch64::LDSMINLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5744 /* stsminlh */, AArch64::LDSMINLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5770 /* stumax */, AArch64::LDUMAXW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5770 /* stumax */, AArch64::LDUMAXX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5777 /* stumaxb */, AArch64::LDUMAXB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5785 /* stumaxh */, AArch64::LDUMAXH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5793 /* stumaxl */, AArch64::LDUMAXLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5793 /* stumaxl */, AArch64::LDUMAXLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5801 /* stumaxlb */, AArch64::LDUMAXLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5810 /* stumaxlh */, AArch64::LDUMAXLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5819 /* stumin */, AArch64::LDUMINW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5819 /* stumin */, AArch64::LDUMINX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5826 /* stuminb */, AArch64::LDUMINB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5834 /* stuminh */, AArch64::LDUMINH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5842 /* stuminl */, AArch64::LDUMINLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5842 /* stuminl */, AArch64::LDUMINLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5850 /* stuminlb */, AArch64::LDUMINLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5859 /* stuminlh */, AArch64::LDUMINLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5885 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5885 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5890 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5890 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5895 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5901 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5907 /* stz2g */, AArch64::STZ2GOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5913 /* stzg */, AArch64::STZGOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5913 /* stzg */, AArch64::STZGPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5913 /* stzg */, AArch64::STZGOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5913 /* stzg */, AArch64::STZGPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5918 /* stzgm */, AArch64::STZGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::SUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 5924 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
{ 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 5924 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
{ 5924 /* sub */, AArch64::SUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 5924 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 5924 /* sub */, AArch64::SUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5924 /* sub */, AArch64::SUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5924 /* sub */, AArch64::SUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5924 /* sub */, AArch64::SUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5924 /* sub */, AArch64::SUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5924 /* sub */, AArch64::SUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5924 /* sub */, AArch64::SUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5928 /* subg */, AArch64::SUBG, Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_UImm6s16, MCK_Imm0_15 }, },
{ 5933 /* subhn */, AArch64::SUBHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5933 /* subhn */, AArch64::SUBHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5933 /* subhn */, AArch64::SUBHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5960 /* subp */, AArch64::SUBP, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64sp }, },
{ 5965 /* subps */, AArch64::SUBPS, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64sp }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
{ 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 5976 /* subs */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 5976 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
{ 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
{ 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 5976 /* subs */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 5976 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
{ 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 5976 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i64, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 5997 /* suqadd */, AArch64::SUQADDv2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 5997 /* suqadd */, AArch64::SUQADDv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 5997 /* suqadd */, AArch64::SUQADDv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 5997 /* suqadd */, AArch64::SUQADDv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 5997 /* suqadd */, AArch64::SUQADDv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 5997 /* suqadd */, AArch64::SUQADDv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6004 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 6008 /* swp */, AArch64::SWPW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6008 /* swp */, AArch64::SWPX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6012 /* swpa */, AArch64::SWPAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6012 /* swpa */, AArch64::SWPAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6017 /* swpab */, AArch64::SWPAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6023 /* swpah */, AArch64::SWPAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6029 /* swpal */, AArch64::SWPALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6029 /* swpal */, AArch64::SWPALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6035 /* swpalb */, AArch64::SWPALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6042 /* swpalh */, AArch64::SWPALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6049 /* swpb */, AArch64::SWPB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6054 /* swph */, AArch64::SWPH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6059 /* swpl */, AArch64::SWPLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6059 /* swpl */, AArch64::SWPLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6064 /* swplb */, AArch64::SWPLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6070 /* swplh */, AArch64::SWPLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6076 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6076 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6081 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6081 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6081 /* sxth */, AArch64::SXTH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6081 /* sxth */, AArch64::SXTH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6097 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6097 /* sxtw */, AArch64::SXTW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
{ 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
{ 6106 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, AMFBS_None, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorList1161_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorList116, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorList2161_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorList216, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorList1321_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorList132, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorList2321_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorList232, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorList1641_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorList164, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorList2641_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorList264, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorList181_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorList18, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorList281_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorList28, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_0__TypedVectorList4_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_0__TypedVectorList1_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_0__TypedVectorList3_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_0__TypedVectorList2_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_0__TypedVectorList4_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_0__TypedVectorList1_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_0__TypedVectorList3_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_0__TypedVectorList2_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6115 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
{ 6115 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
{ 6115 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_1__Tie0_2_2__VecListFour1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_1__Tie0_2_2__VecListOne1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_1__Tie0_2_2__VecListThree1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_1__Tie0_2_2__VecListTwo1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_1__Tie0_2_2__VecListFour1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_1__Tie0_2_2__VecListOne1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_1__Tie0_2_2__VecListThree1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_1__Tie0_2_2__VecListTwo1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6124 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
{ 6124 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
{ 6124 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
{ 6128 /* tcancel */, AArch64::TCANCEL, Convert__Imm0_655351_0, AMFBS_HasTME, { MCK_Imm0_65535 }, },
{ 6136 /* tcommit */, AArch64::TCOMMIT, Convert_NoOperands, AMFBS_HasTME, { }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6144 /* trn1 */, AArch64::TRN1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6144 /* trn1 */, AArch64::TRN1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6144 /* trn1 */, AArch64::TRN1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6144 /* trn1 */, AArch64::TRN1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6144 /* trn1 */, AArch64::TRN1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6144 /* trn1 */, AArch64::TRN1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6144 /* trn1 */, AArch64::TRN1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6149 /* trn2 */, AArch64::TRN2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6149 /* trn2 */, AArch64::TRN2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6149 /* trn2 */, AArch64::TRN2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6149 /* trn2 */, AArch64::TRN2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6149 /* trn2 */, AArch64::TRN2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6149 /* trn2 */, AArch64::TRN2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6149 /* trn2 */, AArch64::TRN2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6154 /* tsb */, AArch64::TSB, Convert__Barrier1_0, AMFBS_HasTRACEV8_4, { MCK_Barrier }, },
{ 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6158 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, AMFBS_None, { MCK_GPR32, MCK_LogicalImm32 }, },
{ 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6158 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, AMFBS_None, { MCK_GPR64, MCK_LogicalImm64 }, },
{ 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 6162 /* tstart */, AArch64::TSTART, Convert__Reg1_0, AMFBS_HasTME, { MCK_GPR64 }, },
{ 6169 /* ttest */, AArch64::TTEST, Convert__Reg1_0, AMFBS_HasTME, { MCK_GPR64 }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6175 /* uaba */, AArch64::UABAv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6175 /* uaba */, AArch64::UABAv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6175 /* uaba */, AArch64::UABAv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6175 /* uaba */, AArch64::UABAv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6175 /* uaba */, AArch64::UABAv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6175 /* uaba */, AArch64::UABAv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6180 /* uabal */, AArch64::UABALv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6180 /* uabal */, AArch64::UABALv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6180 /* uabal */, AArch64::UABALv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6186 /* uabal2 */, AArch64::UABALv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6186 /* uabal2 */, AArch64::UABALv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6186 /* uabal2 */, AArch64::UABALv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6207 /* uabd */, AArch64::UABDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6207 /* uabd */, AArch64::UABDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6207 /* uabd */, AArch64::UABDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6207 /* uabd */, AArch64::UABDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6207 /* uabd */, AArch64::UABDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6207 /* uabd */, AArch64::UABDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6212 /* uabdl */, AArch64::UABDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6212 /* uabdl */, AArch64::UABDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6212 /* uabdl */, AArch64::UABDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6239 /* uadalp */, AArch64::UADALPv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6239 /* uadalp */, AArch64::UADALPv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6239 /* uadalp */, AArch64::UADALPv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6239 /* uadalp */, AArch64::UADALPv2i32_v1i64, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6239 /* uadalp */, AArch64::UADALPv4i16_v2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6239 /* uadalp */, AArch64::UADALPv8i8_v4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6246 /* uaddl */, AArch64::UADDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6246 /* uaddl */, AArch64::UADDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6246 /* uaddl */, AArch64::UADDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv2i32_v1i64, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv4i16_v2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv8i8_v4i16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6293 /* uaddw */, AArch64::UADDWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6293 /* uaddw */, AArch64::UADDWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6293 /* uaddw */, AArch64::UADDWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6320 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 6320 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2f64, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4f32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv8f16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2f32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4f16, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6331 /* udf */, AArch64::UDF, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 6335 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 6335 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 6335 /* udiv */, AArch64::UDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6335 /* udiv */, AArch64::UDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6340 /* udivr */, AArch64::UDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6340 /* udivr */, AArch64::UDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3 }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1 }, },
{ 6346 /* udot */, AArch64::UDOTv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasDotProd, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6346 /* udot */, AArch64::UDOTv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasDotProd, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6346 /* udot */, AArch64::UDOTlanev16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasDotProd, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_4b, MCK_IndexRange0_3 }, },
{ 6346 /* udot */, AArch64::UDOTlanev8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasDotProd, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_4b, MCK_IndexRange0_3 }, },
{ 6351 /* uhadd */, AArch64::UHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6351 /* uhadd */, AArch64::UHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6351 /* uhadd */, AArch64::UHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6351 /* uhadd */, AArch64::UHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6351 /* uhadd */, AArch64::UHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6351 /* uhadd */, AArch64::UHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6357 /* uhsub */, AArch64::UHSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6357 /* uhsub */, AArch64::UHSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6357 /* uhsub */, AArch64::UHSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6357 /* uhsub */, AArch64::UHSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6357 /* uhsub */, AArch64::UHSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6357 /* uhsub */, AArch64::UHSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6370 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAXv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6377 /* umax */, AArch64::UMAXv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6377 /* umax */, AArch64::UMAXv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6377 /* umax */, AArch64::UMAXv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6377 /* umax */, AArch64::UMAXv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6377 /* umax */, AArch64::UMAXv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6382 /* umaxp */, AArch64::UMAXPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6382 /* umaxp */, AArch64::UMAXPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6382 /* umaxp */, AArch64::UMAXPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6382 /* umaxp */, AArch64::UMAXPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6382 /* umaxp */, AArch64::UMAXPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6382 /* umaxp */, AArch64::UMAXPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6388 /* umaxv */, AArch64::UMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6388 /* umaxv */, AArch64::UMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6388 /* umaxv */, AArch64::UMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6388 /* umaxv */, AArch64::UMAXVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6388 /* umaxv */, AArch64::UMAXVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMINv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6394 /* umin */, AArch64::UMINv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6394 /* umin */, AArch64::UMINv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6394 /* umin */, AArch64::UMINv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6394 /* umin */, AArch64::UMINv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6394 /* umin */, AArch64::UMINv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6399 /* uminp */, AArch64::UMINPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6399 /* uminp */, AArch64::UMINPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6399 /* uminp */, AArch64::UMINPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6399 /* uminp */, AArch64::UMINPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6399 /* uminp */, AArch64::UMINPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6399 /* uminp */, AArch64::UMINPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6405 /* uminv */, AArch64::UMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6405 /* uminv */, AArch64::UMINVv4i16v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6405 /* uminv */, AArch64::UMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6405 /* uminv */, AArch64::UMINVv16i8v, Convert__Reg1_0__VectorReg1281_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6405 /* uminv */, AArch64::UMINVv8i8v, Convert__Reg1_0__VectorReg641_1, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6411 /* umlal */, AArch64::UMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6411 /* umlal */, AArch64::UMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6411 /* umlal */, AArch64::UMLALv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6411 /* umlal */, AArch64::UMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6411 /* umlal */, AArch64::UMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6417 /* umlal2 */, AArch64::UMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6417 /* umlal2 */, AArch64::UMLALv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6417 /* umlal2 */, AArch64::UMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6438 /* umlsl */, AArch64::UMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6438 /* umlsl */, AArch64::UMLSLv8i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6438 /* umlsl */, AArch64::UMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv16i8_v8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6465 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 6472 /* umov */, AArch64::UMOVvi8, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_b, MCK_IndexRange0_15 }, },
{ 6472 /* umov */, AArch64::UMOVvi16, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6472 /* umov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_3, AMFBS_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6472 /* umov */, AArch64::UMOVvi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_3, AMFBS_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_IndexRange0_1 }, },
{ 6477 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 6484 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6490 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 6490 /* umull */, AArch64::UMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6490 /* umull */, AArch64::UMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6490 /* umull */, AArch64::UMULLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6490 /* umull */, AArch64::UMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6490 /* umull */, AArch64::UMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6496 /* umull2 */, AArch64::UMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6496 /* umull2 */, AArch64::UMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6496 /* umull2 */, AArch64::UMULLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6496 /* umull2 */, AArch64::UMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__IndexRange0_31_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_IndexRange0_3 }, },
{ 6496 /* umull2 */, AArch64::UMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__IndexRange0_71_6, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_IndexRange0_7 }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6517 /* uqadd */, AArch64::UQADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6517 /* uqadd */, AArch64::UQADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6517 /* uqadd */, AArch64::UQADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6517 /* uqadd */, AArch64::UQADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6517 /* uqadd */, AArch64::UQADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6517 /* uqadd */, AArch64::UQADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6517 /* uqadd */, AArch64::UQADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateBReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateBReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6643 /* uqshl */, AArch64::UQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6643 /* uqshl */, AArch64::UQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6643 /* uqshl */, AArch64::UQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6643 /* uqshl */, AArch64::UQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6687 /* uqsub */, AArch64::UQSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6687 /* uqsub */, AArch64::UQSUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6687 /* uqsub */, AArch64::UQSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6687 /* uqsub */, AArch64::UQSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6687 /* uqsub */, AArch64::UQSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6687 /* uqsub */, AArch64::UQSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6687 /* uqsub */, AArch64::UQSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 6727 /* urecpe */, AArch64::URECPEv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6727 /* urecpe */, AArch64::URECPEv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6727 /* urecpe */, AArch64::URECPE_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6734 /* urhadd */, AArch64::URHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6734 /* urhadd */, AArch64::URHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6734 /* urhadd */, AArch64::URHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6734 /* urhadd */, AArch64::URHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6734 /* urhadd */, AArch64::URHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6734 /* urhadd */, AArch64::URHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6741 /* urshl */, AArch64::URSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6741 /* urshl */, AArch64::URSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6741 /* urshl */, AArch64::URSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6741 /* urshl */, AArch64::URSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6741 /* urshl */, AArch64::URSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6741 /* urshl */, AArch64::URSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6741 /* urshl */, AArch64::URSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6741 /* urshl */, AArch64::URSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6754 /* urshr */, AArch64::URSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 6754 /* urshr */, AArch64::URSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6760 /* ursqrte */, AArch64::URSQRTEv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6760 /* ursqrte */, AArch64::URSQRTEv2i32, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6760 /* ursqrte */, AArch64::URSQRTE_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6768 /* ursra */, AArch64::URSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6768 /* ursra */, AArch64::URSRAv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 6768 /* ursra */, AArch64::URSRAv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRAv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRAv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 6768 /* ursra */, AArch64::URSRAv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRAv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 6768 /* ursra */, AArch64::URSRAv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 6774 /* ushl */, AArch64::USHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6774 /* ushl */, AArch64::USHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6774 /* ushl */, AArch64::USHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6774 /* ushl */, AArch64::USHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6774 /* ushl */, AArch64::USHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6774 /* ushl */, AArch64::USHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6774 /* ushl */, AArch64::USHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6774 /* ushl */, AArch64::USHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6779 /* ushll */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
{ 6779 /* ushll */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
{ 6779 /* ushll */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6806 /* ushr */, AArch64::USHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6806 /* ushr */, AArch64::USHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 6806 /* ushr */, AArch64::USHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 6806 /* ushr */, AArch64::USHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 6806 /* ushr */, AArch64::USHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 6806 /* ushr */, AArch64::USHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 6806 /* ushr */, AArch64::USHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 6806 /* ushr */, AArch64::USHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i64, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 6811 /* usqadd */, AArch64::USQADDv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6811 /* usqadd */, AArch64::USQADDv2i64, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6811 /* usqadd */, AArch64::USQADDv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6811 /* usqadd */, AArch64::USQADDv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6811 /* usqadd */, AArch64::USQADDv2i32, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6811 /* usqadd */, AArch64::USQADDv4i16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6811 /* usqadd */, AArch64::USQADDv8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6818 /* usra */, AArch64::USRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6818 /* usra */, AArch64::USRAv16i8_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
{ 6818 /* usra */, AArch64::USRAv2i64_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRAv4i32_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRAv8i16_shift, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
{ 6818 /* usra */, AArch64::USRAv2i32_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_321_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRAv4i16_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_161_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
{ 6818 /* usra */, AArch64::USRAv8i8_shift, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_2__Imm1_81_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
{ 6823 /* usubl */, AArch64::USUBLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6823 /* usubl */, AArch64::USUBLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6823 /* usubl */, AArch64::USUBLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6829 /* usubl2 */, AArch64::USUBLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6829 /* usubl2 */, AArch64::USUBLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6829 /* usubl2 */, AArch64::USUBLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6850 /* usubw */, AArch64::USUBWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6850 /* usubw */, AArch64::USUBWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6850 /* usubw */, AArch64::USUBWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6856 /* usubw2 */, AArch64::USUBWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6856 /* usubw2 */, AArch64::USUBWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6856 /* usubw2 */, AArch64::USUBWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6893 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6893 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6898 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6898 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6898 /* uxth */, AArch64::UXTH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6898 /* uxth */, AArch64::UXTH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6914 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6914 /* uxtw */, AArch64::UXTW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6919 /* uzp1 */, AArch64::UZP1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6919 /* uzp1 */, AArch64::UZP1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6919 /* uzp1 */, AArch64::UZP1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6919 /* uzp1 */, AArch64::UZP1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6919 /* uzp1 */, AArch64::UZP1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6919 /* uzp1 */, AArch64::UZP1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6924 /* uzp2 */, AArch64::UZP2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 6924 /* uzp2 */, AArch64::UZP2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6924 /* uzp2 */, AArch64::UZP2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6924 /* uzp2 */, AArch64::UZP2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6924 /* uzp2 */, AArch64::UZP2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6924 /* uzp2 */, AArch64::UZP2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6929 /* wfe */, AArch64::HINT, Convert__imm_95_2, AMFBS_None, { }, },
{ 6933 /* wfi */, AArch64::HINT, Convert__imm_95_3, AMFBS_None, { }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7017 /* wrffr */, AArch64::WRFFR, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 7023 /* xaflag */, AArch64::XAFLAG, Convert_NoOperands, AMFBS_HasAltNZCV, { }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm1_161_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm1_321_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm1_641_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm1_81_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 7030 /* xar */, AArch64::XAR, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__UImm61_6, AMFBS_HasSHA3, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_UImm6 }, },
{ 7034 /* xpacd */, AArch64::XPACD, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 7040 /* xpaci */, AArch64::XPACI, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 7046 /* xpaclri */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 7054 /* xtn */, AArch64::XTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 7054 /* xtn */, AArch64::XTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 7054 /* xtn */, AArch64::XTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 7058 /* xtn2 */, AArch64::XTNv16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
{ 7058 /* xtn2 */, AArch64::XTNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 7058 /* xtn2 */, AArch64::XTNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 7063 /* yield */, AArch64::HINT, Convert__imm_95_1, AMFBS_None, { }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 7069 /* zip1 */, AArch64::ZIP1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 7069 /* zip1 */, AArch64::ZIP1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 7069 /* zip1 */, AArch64::ZIP1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 7069 /* zip1 */, AArch64::ZIP1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 7069 /* zip1 */, AArch64::ZIP1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 7069 /* zip1 */, AArch64::ZIP1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
{ 7074 /* zip2 */, AArch64::ZIP2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
{ 7074 /* zip2 */, AArch64::ZIP2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
{ 7074 /* zip2 */, AArch64::ZIP2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
{ 7074 /* zip2 */, AArch64::ZIP2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
{ 7074 /* zip2 */, AArch64::ZIP2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
{ 7074 /* zip2 */, AArch64::ZIP2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
};
static const MatchEntry MatchTable1[] = {
{ 0 /* abs */, AArch64::ABSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 0 /* abs */, AArch64::ABSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 0 /* abs */, AArch64::ABSv2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 0 /* abs */, AArch64::ABSv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 0 /* abs */, AArch64::ABSv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 0 /* abs */, AArch64::ABSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 0 /* abs */, AArch64::ABSv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 0 /* abs */, AArch64::ABSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 0 /* abs */, AArch64::ABS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 8 /* adclb */, AArch64::ADCLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 8 /* adclb */, AArch64::ADCLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 14 /* adclt */, AArch64::ADCLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 14 /* adclt */, AArch64::ADCLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 20 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 20 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
{ 25 /* add */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 25 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
{ 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
{ 25 /* add */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 25 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
{ 25 /* add */, AArch64::ADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 25 /* add */, AArch64::ADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 25 /* add */, AArch64::ADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 25 /* add */, AArch64::ADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 25 /* add */, AArch64::ADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 25 /* add */, AArch64::ADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 25 /* add */, AArch64::ADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 25 /* add */, AArch64::ADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 25 /* add */, AArch64::ADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 25 /* add */, AArch64::ADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 25 /* add */, AArch64::ADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 25 /* add */, AArch64::ADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 25 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 25 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 25 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 25 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
{ 25 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 25 /* add */, AArch64::ADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 29 /* addg */, AArch64::ADDG, Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_UImm6s16, MCK_Imm0_15 }, },
{ 34 /* addhn */, AArch64::ADDHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 34 /* addhn */, AArch64::ADDHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 34 /* addhn */, AArch64::ADDHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 40 /* addhn2 */, AArch64::ADDHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 40 /* addhn2 */, AArch64::ADDHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 40 /* addhn2 */, AArch64::ADDHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 47 /* addhnb */, AArch64::ADDHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 54 /* addhnt */, AArch64::ADDHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 61 /* addp */, AArch64::ADDPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 61 /* addp */, AArch64::ADDPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 61 /* addp */, AArch64::ADDPv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 61 /* addp */, AArch64::ADDPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 61 /* addp */, AArch64::ADDPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 61 /* addp */, AArch64::ADDPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 61 /* addp */, AArch64::ADDPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 61 /* addp */, AArch64::ADDPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 61 /* addp */, AArch64::ADDP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 66 /* addpl */, AArch64::ADDPL_XXI, Convert__Reg1_0__Reg1_1__SImm61_2, AMFBS_HasSVE, { MCK_GPR64sp, MCK_GPR64sp, MCK_SImm6 }, },
{ 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
{ 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 72 /* adds */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 72 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
{ 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
{ 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 72 /* adds */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 72 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
{ 72 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 72 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 72 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 72 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 72 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 77 /* addv */, AArch64::ADDVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
{ 77 /* addv */, AArch64::ADDVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 77 /* addv */, AArch64::ADDVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 77 /* addv */, AArch64::ADDVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
{ 77 /* addv */, AArch64::ADDVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 82 /* addvl */, AArch64::ADDVL_XXI, Convert__Reg1_0__Reg1_1__SImm61_2, AMFBS_HasSVE, { MCK_GPR64sp, MCK_GPR64sp, MCK_SImm6 }, },
{ 88 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrLabel }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_1, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3216, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_2, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32321_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3232, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_3, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL32641_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL3264, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_S_0, Convert__SVEVectorSReg1_0__SVEVectorSReg1_2__ZPRExtendLSL3281_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__91_, MCK_SVEVectorSReg, MCK_ZPRExtendLSL328, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_LSL_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendLSL6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_SXTW_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendSXTW6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_1, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_2, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64321_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_3, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW64641_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 88 /* adr */, AArch64::ADR_UXTW_ZZZ_D_0, Convert__SVEVectorDReg1_0__SVEVectorDReg1_2__ZPRExtendUXTW6481_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__91_, MCK_SVEVectorDReg, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 92 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, AMFBS_None, { MCK_GPR64, MCK_AdrpLabel }, },
{ 97 /* aesd */, AArch64::AESDrr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasAES, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 97 /* aesd */, AArch64::AESD_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 102 /* aese */, AArch64::AESErr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasAES, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 102 /* aese */, AArch64::AESE_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 107 /* aesimc */, AArch64::AESIMC_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 107 /* aesimc */, AArch64::AESIMCrr, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasAES, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 114 /* aesmc */, AArch64::AESMC_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 114 /* aesmc */, AArch64::AESMCrr, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasAES, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 120 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 120 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 120 /* and */, AArch64::AND_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 120 /* and */, AArch64::AND_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 120 /* and */, AArch64::ANDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 120 /* and */, AArch64::ANDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 120 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 120 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 120 /* and */, AArch64::AND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 120 /* and */, AArch64::AND_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 124 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
{ 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 124 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
{ 124 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 124 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 124 /* ands */, AArch64::ANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 129 /* andv */, AArch64::ANDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 134 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 134 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 134 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 134 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 134 /* asr */, AArch64::ASR_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 134 /* asr */, AArch64::ASR_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 134 /* asr */, AArch64::ASR_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 134 /* asr */, AArch64::ASR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 138 /* asrd */, AArch64::ASRD_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 143 /* asrr */, AArch64::ASRR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 148 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 148 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 153 /* autda */, AArch64::AUTDA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 159 /* autdb */, AArch64::AUTDB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 165 /* autdza */, AArch64::AUTDZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 172 /* autdzb */, AArch64::AUTDZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 179 /* autia */, AArch64::AUTIA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 185 /* autia1716 */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 195 /* autiasp */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 203 /* autiaz */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 210 /* autib */, AArch64::AUTIB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 216 /* autib1716 */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 226 /* autibsp */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 234 /* autibz */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 241 /* autiza */, AArch64::AUTIZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 248 /* autizb */, AArch64::AUTIZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 255 /* axflag */, AArch64::AXFLAG, Convert_NoOperands, AMFBS_HasAltNZCV, { }, },
{ 262 /* b */, AArch64::B, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
{ 262 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, AMFBS_None, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 264 /* bcax */, AArch64::BCAX_ZZZZ_D, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 264 /* bcax */, AArch64::BCAX, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorReg1281_4, AMFBS_HasSHA3, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 269 /* bdep */, AArch64::BDEP_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 274 /* bext */, AArch64::BEXT_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 279 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 279 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 283 /* bgrp */, AArch64::BGRP_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2BitPerm, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 288 /* bic */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 288 /* bic */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 288 /* bic */, AArch64::BIC_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 288 /* bic */, AArch64::AND_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 288 /* bic */, AArch64::BICv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 288 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 288 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 288 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 288 /* bic */, AArch64::BICv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 288 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 288 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 288 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 288 /* bic */, AArch64::BIC_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 288 /* bic */, AArch64::BIC_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 292 /* bics */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 292 /* bics */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 292 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 292 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 292 /* bics */, AArch64::BICS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 297 /* bif */, AArch64::BIFv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 297 /* bif */, AArch64::BIFv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 301 /* bit */, AArch64::BITv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 301 /* bit */, AArch64::BITv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 305 /* bl */, AArch64::BL, Convert__BranchTarget261_0, AMFBS_None, { MCK_BranchTarget26 }, },
{ 308 /* blr */, AArch64::BLR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 312 /* blraa */, AArch64::BLRAA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 318 /* blraaz */, AArch64::BLRAAZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 325 /* blrab */, AArch64::BLRAB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 331 /* blrabz */, AArch64::BLRABZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 338 /* br */, AArch64::BR, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 341 /* braa */, AArch64::BRAA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 346 /* braaz */, AArch64::BRAAZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 352 /* brab */, AArch64::BRAB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 357 /* brabz */, AArch64::BRABZ, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 363 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 367 /* brka */, AArch64::BRKA_PPmP, Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 367 /* brka */, AArch64::BRKA_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 372 /* brkas */, AArch64::BRKAS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 378 /* brkb */, AArch64::BRKB_PPmP, Convert__SVEPredicateBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 378 /* brkb */, AArch64::BRKB_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 383 /* brkbs */, AArch64::BRKBS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 389 /* brkn */, AArch64::BRKN_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 394 /* brkns */, AArch64::BRKNS_PPzP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__Tie0_1_6, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 400 /* brkpa */, AArch64::BRKPA_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 406 /* brkpas */, AArch64::BRKPAS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 413 /* brkpb */, AArch64::BRKPB_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 419 /* brkpbs */, AArch64::BRKPBS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 426 /* bsl */, AArch64::BSLv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 426 /* bsl */, AArch64::BSLv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 426 /* bsl */, AArch64::BSL_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 430 /* bsl1n */, AArch64::BSL1N_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 436 /* bsl2n */, AArch64::BSL2N_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 442 /* bti */, AArch64::HINT, Convert__imm_95_32, AMFBS_HasBTI, { }, },
{ 442 /* bti */, AArch64::HINT, Convert__BTIHint1_0, AMFBS_HasBTI, { MCK_BTIHint }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 446 /* cadd */, AArch64::CADD_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationOdd }, },
{ 451 /* cas */, AArch64::CASW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 451 /* cas */, AArch64::CASX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 455 /* casa */, AArch64::CASAW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 455 /* casa */, AArch64::CASAX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 460 /* casab */, AArch64::CASAB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 466 /* casah */, AArch64::CASAH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 472 /* casal */, AArch64::CASALW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 472 /* casal */, AArch64::CASALX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 478 /* casalb */, AArch64::CASALB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 485 /* casalh */, AArch64::CASALH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 492 /* casb */, AArch64::CASB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 497 /* cash */, AArch64::CASH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 502 /* casl */, AArch64::CASLW, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 502 /* casl */, AArch64::CASLX, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 507 /* caslb */, AArch64::CASLB, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 513 /* caslh */, AArch64::CASLH, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 519 /* casp */, AArch64::CASPW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 519 /* casp */, AArch64::CASPX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 524 /* caspa */, AArch64::CASPAW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 524 /* caspa */, AArch64::CASPAX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 530 /* caspal */, AArch64::CASPALW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 530 /* caspal */, AArch64::CASPALX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 537 /* caspl */, AArch64::CASPLW, Convert__WSeqPair1_0__Tie0_1_1__WSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 537 /* caspl */, AArch64::CASPLX, Convert__XSeqPair1_0__Tie0_1_1__XSeqPair1_1__Reg1_3, AMFBS_HasLSE, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 543 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 543 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 548 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 548 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 552 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 552 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 557 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 562 /* cdot */, AArch64::CDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 567 /* cfinv */, AArch64::CFINV, Convert_NoOperands, AMFBS_HasFMI, { }, },
{ 573 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 573 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 578 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 578 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_FPR8, MCK_SVEVectorBReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorBReg }, },
{ 583 /* clasta */, AArch64::CLASTA_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_GPR64, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 583 /* clasta */, AArch64::CLASTA_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_FPR8, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_GPR32, MCK_SVEVectorBReg }, },
{ 590 /* clastb */, AArch64::CLASTB_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_GPR64, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 590 /* clastb */, AArch64::CLASTB_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 597 /* clrex */, AArch64::CLREX, Convert__imm_95_15, AMFBS_None, { }, },
{ 597 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, AMFBS_None, { MCK_Imm0_15 }, },
{ 603 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 603 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 603 /* cls */, AArch64::CLSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 603 /* cls */, AArch64::CLSv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 603 /* cls */, AArch64::CLSv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 603 /* cls */, AArch64::CLSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 603 /* cls */, AArch64::CLSv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 603 /* cls */, AArch64::CLSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 603 /* cls */, AArch64::CLS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 607 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 607 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 607 /* clz */, AArch64::CLZv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 607 /* clz */, AArch64::CLZv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 607 /* clz */, AArch64::CLZv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 607 /* clz */, AArch64::CLZv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 607 /* clz */, AArch64::CLZv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 607 /* clz */, AArch64::CLZv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 607 /* clz */, AArch64::CLZ_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 611 /* cmeq */, AArch64::CMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 611 /* cmeq */, AArch64::CMEQv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i8rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 611 /* cmeq */, AArch64::CMEQv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 616 /* cmge */, AArch64::CMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 616 /* cmge */, AArch64::CMGEv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 616 /* cmge */, AArch64::CMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 616 /* cmge */, AArch64::CMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 616 /* cmge */, AArch64::CMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 616 /* cmge */, AArch64::CMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 616 /* cmge */, AArch64::CMGEv8i8rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 616 /* cmge */, AArch64::CMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 616 /* cmge */, AArch64::CMGEv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 621 /* cmgt */, AArch64::CMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 621 /* cmgt */, AArch64::CMGTv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i8rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 621 /* cmgt */, AArch64::CMGTv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 626 /* cmhi */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 626 /* cmhi */, AArch64::CMHIv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 626 /* cmhi */, AArch64::CMHIv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 626 /* cmhi */, AArch64::CMHIv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 626 /* cmhi */, AArch64::CMHIv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 626 /* cmhi */, AArch64::CMHIv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 626 /* cmhi */, AArch64::CMHIv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 626 /* cmhi */, AArch64::CMHIv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 631 /* cmhs */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 631 /* cmhs */, AArch64::CMHSv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 631 /* cmhs */, AArch64::CMHSv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 631 /* cmhs */, AArch64::CMHSv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 631 /* cmhs */, AArch64::CMHSv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 631 /* cmhs */, AArch64::CMHSv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 631 /* cmhs */, AArch64::CMHSv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 631 /* cmhs */, AArch64::CMHSv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 636 /* cmla */, AArch64::CMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 641 /* cmle */, AArch64::CMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 641 /* cmle */, AArch64::CMLEv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 641 /* cmle */, AArch64::CMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 641 /* cmle */, AArch64::CMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 641 /* cmle */, AArch64::CMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 641 /* cmle */, AArch64::CMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 641 /* cmle */, AArch64::CMLEv8i8rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 641 /* cmle */, AArch64::CMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 641 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 646 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 646 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 646 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 646 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 646 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 646 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 646 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 646 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 651 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 651 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 651 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 651 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 651 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 651 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 651 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 651 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 656 /* cmlt */, AArch64::CMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 656 /* cmlt */, AArch64::CMLTv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 656 /* cmlt */, AArch64::CMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 656 /* cmlt */, AArch64::CMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 656 /* cmlt */, AArch64::CMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 656 /* cmlt */, AArch64::CMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 656 /* cmlt */, AArch64::CMLTv8i8rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 656 /* cmlt */, AArch64::CMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 656 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
{ 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
{ 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 661 /* cmn */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 661 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
{ 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 661 /* cmn */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 661 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
{ 661 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 661 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 661 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 661 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 661 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32 }, },
{ 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64 }, },
{ 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 665 /* cmp */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 665 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR32sp, MCK_AddSubImm }, },
{ 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 665 /* cmp */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 665 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, AMFBS_None, { MCK_GPR64sp, MCK_AddSubImm }, },
{ 665 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 665 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 665 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 665 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 665 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 669 /* cmpeq */, AArch64::CMPEQ_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 675 /* cmpge */, AArch64::CMPGE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 675 /* cmpge */, AArch64::CMPGE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 681 /* cmpgt */, AArch64::CMPGT_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 681 /* cmpgt */, AArch64::CMPGT_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 687 /* cmphi */, AArch64::CMPHI_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 687 /* cmphi */, AArch64::CMPHI_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 693 /* cmphs */, AArch64::CMPHS_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 693 /* cmphs */, AArch64::CMPHS_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPLE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 699 /* cmple */, AArch64::CMPLE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 699 /* cmple */, AArch64::CMPGE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPLO_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 705 /* cmplo */, AArch64::CMPLO_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 705 /* cmplo */, AArch64::CMPHI_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPLS_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__Imm0_1271_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_Imm0_127 }, },
{ 711 /* cmpls */, AArch64::CMPLS_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 711 /* cmpls */, AArch64::CMPHS_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPLT_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 717 /* cmplt */, AArch64::CMPLT_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 717 /* cmplt */, AArch64::CMPGT_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_5__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZI_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SImm51_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SImm5 }, },
{ 723 /* cmpne */, AArch64::CMPNE_WIDE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 723 /* cmpne */, AArch64::CMPNE_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 729 /* cmpp */, AArch64::SUBPS, Convert__regXZR__Reg1_0__Reg1_1, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp }, },
{ 734 /* cmtst */, AArch64::CMTSTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 734 /* cmtst */, AArch64::CMTSTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 734 /* cmtst */, AArch64::CMTSTv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 734 /* cmtst */, AArch64::CMTSTv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 734 /* cmtst */, AArch64::CMTSTv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 734 /* cmtst */, AArch64::CMTSTv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 734 /* cmtst */, AArch64::CMTSTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 734 /* cmtst */, AArch64::CMTSTv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 740 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 740 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 745 /* cnot */, AArch64::CNOT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 750 /* cnt */, AArch64::CNTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 750 /* cnt */, AArch64::CNTv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 750 /* cnt */, AArch64::CNT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 754 /* cntb */, AArch64::CNTB_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 759 /* cntd */, AArch64::CNTD_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 764 /* cnth */, AArch64::CNTH_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_H, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateHReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_S, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateSReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_D, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateDReg }, },
{ 769 /* cntp */, AArch64::CNTP_XPP_B, Convert__Reg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 774 /* cntw */, AArch64::CNTW_XPiI, Convert__Reg1_0__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 779 /* compact */, AArch64::COMPACT_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 779 /* compact */, AArch64::COMPACT_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm16 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm32 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR64sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm64 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR8 }, },
{ 787 /* cpy */, AArch64::CPY_ZPmR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 787 /* cpy */, AArch64::CPY_ZPmI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm8 }, },
{ 787 /* cpy */, AArch64::CPY_ZPzI_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm8 }, },
{ 791 /* crc32b */, AArch64::CRC32Brr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 798 /* crc32cb */, AArch64::CRC32CBrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 806 /* crc32ch */, AArch64::CRC32CHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 814 /* crc32cw */, AArch64::CRC32CWrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 822 /* crc32cx */, AArch64::CRC32CXrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 830 /* crc32h */, AArch64::CRC32Hrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 837 /* crc32w */, AArch64::CRC32Wrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 844 /* crc32x */, AArch64::CRC32Xrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 851 /* csdb */, AArch64::HINT, Convert__imm_95_20, AMFBS_None, { }, },
{ 856 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 856 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 861 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
{ 861 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
{ 866 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, AMFBS_None, { MCK_GPR32, MCK_CondCode }, },
{ 866 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, AMFBS_None, { MCK_GPR64, MCK_CondCode }, },
{ 872 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 872 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 878 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 878 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 884 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
{ 884 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
{ 890 /* ctermeq */, AArch64::CTERMEQ_WW, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_GPR32 }, },
{ 890 /* ctermeq */, AArch64::CTERMEQ_XX, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64 }, },
{ 898 /* ctermne */, AArch64::CTERMNE_WW, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_GPR32 }, },
{ 898 /* ctermne */, AArch64::CTERMNE_XX, Convert__Reg1_0__Reg1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64 }, },
{ 906 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, AMFBS_None, { }, },
{ 906 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 912 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, AMFBS_None, { }, },
{ 912 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 918 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, AMFBS_None, { }, },
{ 918 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 924 /* decb */, AArch64::DECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 929 /* decd */, AArch64::DECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 929 /* decd */, AArch64::DECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 934 /* dech */, AArch64::DECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 934 /* dech */, AArch64::DECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 939 /* decp */, AArch64::DECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 939 /* decp */, AArch64::DECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 939 /* decp */, AArch64::DECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 939 /* decp */, AArch64::DECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 939 /* decp */, AArch64::DECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 944 /* decw */, AArch64::DECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 944 /* decw */, AArch64::DECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 949 /* dmb */, AArch64::DMB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 953 /* drps */, AArch64::DRPS, Convert_NoOperands, AMFBS_None, { }, },
{ 958 /* dsb */, AArch64::DSB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 962 /* dup */, AArch64::DUP_ZR_H, Convert__SVEVectorHReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__SVECpyImm162_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVECpyImm16 }, },
{ 962 /* dup */, AArch64::DUP_ZR_S, Convert__SVEVectorSReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__SVECpyImm322_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVECpyImm32 }, },
{ 962 /* dup */, AArch64::DUP_ZR_D, Convert__SVEVectorDReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__SVECpyImm642_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVECpyImm64 }, },
{ 962 /* dup */, AArch64::DUP_ZR_B, Convert__SVEVectorBReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32sp }, },
{ 962 /* dup */, AArch64::DUP_ZI_B, Convert__SVEVectorBReg1_0__SVECpyImm82_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVECpyImm8 }, },
{ 962 /* dup */, AArch64::DUPv16i8gpr, Convert__VectorReg1281_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv2i64gpr, Convert__VectorReg1281_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_GPR64 }, },
{ 962 /* dup */, AArch64::DUPv2i32gpr, Convert__VectorReg641_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv4i16gpr, Convert__VectorReg641_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv4i32gpr, Convert__VectorReg1281_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv8i8gpr, Convert__VectorReg641_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::DUPv8i16gpr, Convert__VectorReg1281_1__Reg1_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_GPR32 }, },
{ 962 /* dup */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_2, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 962 /* dup */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_2, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_2, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 962 /* dup */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_2, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_SVEVectorQReg, MCK_SVEIndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEIndexRange0_31 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEIndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEIndexRange0_7 }, },
{ 962 /* dup */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEIndexRange0_63 }, },
{ 962 /* dup */, AArch64::DUPv16i8lane, Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_151_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUPv2i64lane, Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_11_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 962 /* dup */, AArch64::DUPv2i32lane, Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUPv4i16lane, Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 962 /* dup */, AArch64::DUPv4i32lane, Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 962 /* dup */, AArch64::DUPv8i8lane, Convert__VectorReg641_1__VectorReg1281_2__IndexRange0_151_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 962 /* dup */, AArch64::DUPv8i16lane, Convert__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorHReg1_0__SVELogicalImm161_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorSReg1_0__SVELogicalImm321_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorDReg1_0__LogicalImm641_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 966 /* dupm */, AArch64::DUPM_ZI, Convert__SVEVectorBReg1_0__SVELogicalImm81_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 971 /* eon */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 971 /* eon */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 971 /* eon */, AArch64::EOR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 971 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 971 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 975 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 975 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 975 /* eor */, AArch64::EOR_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 975 /* eor */, AArch64::EOR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 975 /* eor */, AArch64::EORv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 975 /* eor */, AArch64::EORv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 975 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 975 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 975 /* eor */, AArch64::EOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 975 /* eor */, AArch64::EOR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 979 /* eor3 */, AArch64::EOR3_ZZZZ_D, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 979 /* eor3 */, AArch64::EOR3, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorReg1281_4, AMFBS_HasSHA3, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 984 /* eorbt */, AArch64::EORBT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 990 /* eors */, AArch64::EORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 995 /* eortb */, AArch64::EORTB_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1001 /* eorv */, AArch64::EORV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1006 /* eret */, AArch64::ERET, Convert_NoOperands, AMFBS_None, { }, },
{ 1011 /* eretaa */, AArch64::ERETAA, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 1018 /* eretab */, AArch64::ERETAB, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 1025 /* esb */, AArch64::HINT, Convert__imm_95_16, AMFBS_HasRAS, { }, },
{ 1029 /* ext */, AArch64::EXT_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorList281_1__Imm0_2551_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorList28, MCK_Imm0_255 }, },
{ 1029 /* ext */, AArch64::EXT_ZZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm0_2551_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 1029 /* ext */, AArch64::EXTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm }, },
{ 1029 /* ext */, AArch64::EXTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm }, },
{ 1033 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 1033 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 1038 /* fabd */, AArch64::FABD16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1038 /* fabd */, AArch64::FABD32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1038 /* fabd */, AArch64::FABD64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1038 /* fabd */, AArch64::FABDv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1038 /* fabd */, AArch64::FABDv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1038 /* fabd */, AArch64::FABDv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1038 /* fabd */, AArch64::FABDv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1038 /* fabd */, AArch64::FABDv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1038 /* fabd */, AArch64::FABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1043 /* fabs */, AArch64::FABSHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1043 /* fabs */, AArch64::FABSSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1043 /* fabs */, AArch64::FABSDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1043 /* fabs */, AArch64::FABSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1043 /* fabs */, AArch64::FABSv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1043 /* fabs */, AArch64::FABSv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1043 /* fabs */, AArch64::FABSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1043 /* fabs */, AArch64::FABSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1043 /* fabs */, AArch64::FABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1048 /* facge */, AArch64::FACGE16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1048 /* facge */, AArch64::FACGE32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1048 /* facge */, AArch64::FACGE64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1048 /* facge */, AArch64::FACGEv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1048 /* facge */, AArch64::FACGEv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1048 /* facge */, AArch64::FACGEv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1048 /* facge */, AArch64::FACGEv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1048 /* facge */, AArch64::FACGEv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1048 /* facge */, AArch64::FACGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1054 /* facgt */, AArch64::FACGT16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1054 /* facgt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1054 /* facgt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1054 /* facgt */, AArch64::FACGTv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1054 /* facgt */, AArch64::FACGTv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1054 /* facgt */, AArch64::FACGTv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1054 /* facgt */, AArch64::FACGTv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1054 /* facgt */, AArch64::FACGTv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1054 /* facgt */, AArch64::FACGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1060 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1060 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1060 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1060 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1060 /* facle */, AArch64::FACGEv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1060 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1060 /* facle */, AArch64::FACGEv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1060 /* facle */, AArch64::FACGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1066 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1066 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1066 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1066 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1066 /* faclt */, AArch64::FACGTv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1066 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1066 /* faclt */, AArch64::FACGTv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1066 /* faclt */, AArch64::FACGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1072 /* fadd */, AArch64::FADDHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1072 /* fadd */, AArch64::FADDSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1072 /* fadd */, AArch64::FADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1072 /* fadd */, AArch64::FADDv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1072 /* fadd */, AArch64::FADDv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1072 /* fadd */, AArch64::FADDv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1072 /* fadd */, AArch64::FADDv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1072 /* fadd */, AArch64::FADDv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1072 /* fadd */, AArch64::FADD_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_FPR16, MCK_SVEVectorHReg }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_FPR32, MCK_SVEVectorSReg }, },
{ 1077 /* fadda */, AArch64::FADDA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_FPR64, MCK_SVEVectorDReg }, },
{ 1083 /* faddp */, AArch64::FADDPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 1083 /* faddp */, AArch64::FADDPv2i16p, Convert__FPRAsmOperandFPR161_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_2h, MCK_FPRAsmOperandFPR16, MCK_VectorReg64 }, },
{ 1083 /* faddp */, AArch64::FADDPv2i32p, Convert__FPRAsmOperandFPR321_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_FPRAsmOperandFPR32, MCK_VectorReg64 }, },
{ 1083 /* faddp */, AArch64::FADDPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1083 /* faddp */, AArch64::FADDPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1083 /* faddp */, AArch64::FADDPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1083 /* faddp */, AArch64::FADDPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1083 /* faddp */, AArch64::FADDPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1083 /* faddp */, AArch64::FADDP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1089 /* faddv */, AArch64::FADDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1095 /* fcadd */, AArch64::FCADDv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__ComplexRotationOdd1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__ComplexRotationOdd1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__ComplexRotationOdd1_4, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__ComplexRotationOdd1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADDv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__ComplexRotationOdd1_4, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 1095 /* fcadd */, AArch64::FCADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5__ComplexRotationOdd1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 1101 /* fccmp */, AArch64::FCCMPHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
{ 1101 /* fccmp */, AArch64::FCCMPSrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 1101 /* fccmp */, AArch64::FCCMPDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPEHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPESrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
{ 1107 /* fccmpe */, AArch64::FCCMPEDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1114 /* fcmeq */, AArch64::FCMEQ_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1120 /* fcmge */, AArch64::FCMGE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1126 /* fcmgt */, AArch64::FCMGT_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1132 /* fcmla */, AArch64::FCMLAv2f64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__ComplexRotationEven1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv2f32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3__ComplexRotationEven1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3__ComplexRotationEven1_4, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__ComplexRotationEven1_4, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv8f16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__ComplexRotationEven1_4, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv4f32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4__ComplexRotationEven1_5, AMFBS_HasComplxNum_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLAv8f16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4__ComplexRotationEven1_5, AMFBS_HasComplxNum_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 1132 /* fcmla */, AArch64::FCMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5__ComplexRotationEven1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGEv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1138 /* fcmle */, AArch64::FCMGE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1138 /* fcmle */, AArch64::FCMLE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, AMFBS_None, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__HASH_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_5__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1144 /* fcmlt */, AArch64::FCMGT_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1144 /* fcmlt */, AArch64::FCMLT_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1150 /* fcmne */, AArch64::FCMNE_PPzZ0_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPHrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1156 /* fcmp */, AArch64::FCMPSrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1156 /* fcmp */, AArch64::FCMPDrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1156 /* fcmp */, AArch64::FCMPHri, Convert__Reg1_0, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPSri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1156 /* fcmp */, AArch64::FCMPDri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEHrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1161 /* fcmpe */, AArch64::FCMPESrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEDrr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEHri, Convert__Reg1_0, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPESri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1161 /* fcmpe */, AArch64::FCMPEDri, Convert__Reg1_0, AMFBS_HasFPARMv8, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_S, Convert__SVEPredicateSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1167 /* fcmuo */, AArch64::FCMUO_PPzZZ_D, Convert__SVEPredicateDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1173 /* fcpy */, AArch64::FCPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1178 /* fcsel */, AArch64::FCSELHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_CondCode }, },
{ 1178 /* fcsel */, AArch64::FCSELSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_CondCode }, },
{ 1178 /* fcsel */, AArch64::FCSELDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_CondCode }, },
{ 1184 /* fcvt */, AArch64::FCVTHSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR16, MCK_FPR32 }, },
{ 1184 /* fcvt */, AArch64::FCVTHDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR16, MCK_FPR64 }, },
{ 1184 /* fcvt */, AArch64::FCVTSHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR16 }, },
{ 1184 /* fcvt */, AArch64::FCVTSDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR64 }, },
{ 1184 /* fcvt */, AArch64::FCVTDHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR16 }, },
{ 1184 /* fcvt */, AArch64::FCVTDSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR32 }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1184 /* fcvt */, AArch64::FCVT_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1189 /* fcvtas */, AArch64::FCVTASv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1196 /* fcvtau */, AArch64::FCVTAUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1203 /* fcvtl */, AArch64::FCVTLv2i32, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 1203 /* fcvtl */, AArch64::FCVTLv4i16, Convert__VectorReg1281_0__VectorReg641_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 1209 /* fcvtl2 */, AArch64::FCVTLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1209 /* fcvtl2 */, AArch64::FCVTLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 1216 /* fcvtlt */, AArch64::FCVTLT_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1216 /* fcvtlt */, AArch64::FCVTLT_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1223 /* fcvtms */, AArch64::FCVTMSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1230 /* fcvtmu */, AArch64::FCVTMUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1237 /* fcvtn */, AArch64::FCVTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1237 /* fcvtn */, AArch64::FCVTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1243 /* fcvtn2 */, AArch64::FCVTNv4i32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1243 /* fcvtn2 */, AArch64::FCVTNv8i16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1250 /* fcvtns */, AArch64::FCVTNSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1257 /* fcvtnt */, AArch64::FCVTNT_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1257 /* fcvtnt */, AArch64::FCVTNT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1264 /* fcvtnu */, AArch64::FCVTNUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1271 /* fcvtps */, AArch64::FCVTPSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1278 /* fcvtpu */, AArch64::FCVTPUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1285 /* fcvtx */, AArch64::FCVTX_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1291 /* fcvtxn */, AArch64::FCVTXNv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 1291 /* fcvtxn */, AArch64::FCVTXNv2f32, Convert__VectorReg641_0__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1298 /* fcvtxn2 */, AArch64::FCVTXNv4f32, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
{ 1306 /* fcvtxnt */, AArch64::FCVTXNT_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZSv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1314 /* fcvtzs */, AArch64::FCVTZS_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUWDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUUXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZUv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_HtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1321 /* fcvtzu */, AArch64::FCVTZU_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1328 /* fdiv */, AArch64::FDIVHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1328 /* fdiv */, AArch64::FDIVSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1328 /* fdiv */, AArch64::FDIVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1328 /* fdiv */, AArch64::FDIVv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1328 /* fdiv */, AArch64::FDIVv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1328 /* fdiv */, AArch64::FDIVv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1328 /* fdiv */, AArch64::FDIVv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1328 /* fdiv */, AArch64::FDIVv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1328 /* fdiv */, AArch64::FDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1333 /* fdivr */, AArch64::FDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_H, Convert__SVEVectorHReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPImm }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_S, Convert__SVEVectorSReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPImm }, },
{ 1339 /* fdup */, AArch64::FDUP_ZI_D, Convert__SVEVectorDReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPImm }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1344 /* fexpa */, AArch64::FEXPA_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1350 /* fjcvtzs */, AArch64::FJCVTZS, Convert__Reg1_0__Reg1_1, AMFBS_HasJS_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1358 /* flogb */, AArch64::FLOGB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1364 /* fmad */, AArch64::FMAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1369 /* fmadd */, AArch64::FMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1369 /* fmadd */, AArch64::FMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1369 /* fmadd */, AArch64::FMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1375 /* fmax */, AArch64::FMAXHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1375 /* fmax */, AArch64::FMAXSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1375 /* fmax */, AArch64::FMAXDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1375 /* fmax */, AArch64::FMAXv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1375 /* fmax */, AArch64::FMAXv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1375 /* fmax */, AArch64::FMAXv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1375 /* fmax */, AArch64::FMAXv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1375 /* fmax */, AArch64::FMAXv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1375 /* fmax */, AArch64::FMAX_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNMv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1380 /* fmaxnm */, AArch64::FMAXNM_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i16p, Convert__FPRAsmOperandFPR161_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_2h, MCK_FPRAsmOperandFPR16, MCK_VectorReg64 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2i32p, Convert__FPRAsmOperandFPR321_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_FPRAsmOperandFPR32, MCK_VectorReg64 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1387 /* fmaxnmp */, AArch64::FMAXNMP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1395 /* fmaxnmv */, AArch64::FMAXNMV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i16p, Convert__FPRAsmOperandFPR161_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_2h, MCK_FPRAsmOperandFPR16, MCK_VectorReg64 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2i32p, Convert__FPRAsmOperandFPR321_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_FPRAsmOperandFPR32, MCK_VectorReg64 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1403 /* fmaxp */, AArch64::FMAXPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1403 /* fmaxp */, AArch64::FMAXP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 1409 /* fmaxv */, AArch64::FMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1409 /* fmaxv */, AArch64::FMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1415 /* fmin */, AArch64::FMINHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1415 /* fmin */, AArch64::FMINSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1415 /* fmin */, AArch64::FMINDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1415 /* fmin */, AArch64::FMINv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1415 /* fmin */, AArch64::FMINv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1415 /* fmin */, AArch64::FMINv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1415 /* fmin */, AArch64::FMINv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1415 /* fmin */, AArch64::FMINv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1415 /* fmin */, AArch64::FMIN_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1420 /* fminnm */, AArch64::FMINNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1420 /* fminnm */, AArch64::FMINNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1420 /* fminnm */, AArch64::FMINNMv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1420 /* fminnm */, AArch64::FMINNM_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandZeroOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandZeroOne }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i16p, Convert__FPRAsmOperandFPR161_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_2h, MCK_FPRAsmOperandFPR16, MCK_VectorReg64 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2i32p, Convert__FPRAsmOperandFPR321_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_FPRAsmOperandFPR32, MCK_VectorReg64 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1427 /* fminnmp */, AArch64::FMINNMP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 1435 /* fminnmv */, AArch64::FMINNMVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1435 /* fminnmv */, AArch64::FMINNMV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1443 /* fminp */, AArch64::FMINPv2i64p, Convert__FPRAsmOperandFPR641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_FPRAsmOperandFPR64, MCK_VectorReg128 }, },
{ 1443 /* fminp */, AArch64::FMINPv2i16p, Convert__FPRAsmOperandFPR161_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_2h, MCK_FPRAsmOperandFPR16, MCK_VectorReg64 }, },
{ 1443 /* fminp */, AArch64::FMINPv2i32p, Convert__FPRAsmOperandFPR321_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_FPRAsmOperandFPR32, MCK_VectorReg64 }, },
{ 1443 /* fminp */, AArch64::FMINPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1443 /* fminp */, AArch64::FMINPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1443 /* fminp */, AArch64::FMINPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1443 /* fminp */, AArch64::FMINPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1443 /* fminp */, AArch64::FMINPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1443 /* fminp */, AArch64::FMINP_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1449 /* fminv */, AArch64::FMINVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 1449 /* fminv */, AArch64::FMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 1449 /* fminv */, AArch64::FMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1449 /* fminv */, AArch64::FMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1455 /* fmla */, AArch64::FMLAv2f64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1455 /* fmla */, AArch64::FMLAv2f32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1455 /* fmla */, AArch64::FMLAv4f16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1455 /* fmla */, AArch64::FMLAv4f32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1455 /* fmla */, AArch64::FMLAv8f16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv2i64_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLAv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLAv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLAv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i16_indexed, Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1455 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1455 /* fmla */, AArch64::FMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1460 /* fmlal */, AArch64::FMLALv4f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1460 /* fmlal */, AArch64::FMLALv8f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1460 /* fmlal */, AArch64::FMLALlanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1460 /* fmlal */, AArch64::FMLALlanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2v4f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2v8f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2lanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1466 /* fmlal2 */, AArch64::FMLAL2lanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1473 /* fmlalb */, AArch64::FMLALB_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1473 /* fmlalb */, AArch64::FMLALB_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1480 /* fmlalt */, AArch64::FMLALT_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1480 /* fmlalt */, AArch64::FMLALT_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv2f64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1487 /* fmls */, AArch64::FMLSv2f32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1487 /* fmls */, AArch64::FMLSv4f16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1487 /* fmls */, AArch64::FMLSv4f32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1487 /* fmls */, AArch64::FMLSv8f16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv2i64_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLSv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLSv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i16_indexed, Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1487 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1487 /* fmls */, AArch64::FMLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1492 /* fmlsl */, AArch64::FMLSLv4f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1492 /* fmlsl */, AArch64::FMLSLv8f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1492 /* fmlsl */, AArch64::FMLSLlanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1492 /* fmlsl */, AArch64::FMLSLlanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2v4f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2v8f16, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasNEON_HasFP16FML, { }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2lanev8f16, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1498 /* fmlsl2 */, AArch64::FMLSL2lanev4f16, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON_HasFP16FML, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1505 /* fmlslb */, AArch64::FMLSLB_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1505 /* fmlslb */, AArch64::FMLSLB_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1512 /* fmlslt */, AArch64::FMLSLT_ZZZ_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1512 /* fmlslt */, AArch64::FMLSLT_ZZZI_SHH, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1519 /* fmov */, AArch64::FMOVHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVHi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVSi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FMOVDi, Convert__Reg1_0__FPImm1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVHWr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVSWr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
{ 1519 /* fmov */, AArch64::FMOVHXr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
{ 1519 /* fmov */, AArch64::FMOVDXr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_H, Convert__SVEVectorHReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_S, Convert__SVEVectorSReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FDUP_ZI_D, Convert__SVEVectorDReg1_0__FPImm1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv2f64_ns, Convert__VectorReg1281_1__FPImm1_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv2f32_ns, Convert__VectorReg641_1__FPImm1_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv4f16_ns, Convert__VectorReg641_1__FPImm1_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv4f32_ns, Convert__VectorReg1281_1__FPImm1_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVv8f16_ns, Convert__VectorReg1281_1__FPImm1_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__regWZR, AMFBS_HasFullFP16, { MCK_FPR16, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__regWZR, AMFBS_None, { MCK_FPR32, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__regXZR, AMFBS_None, { MCK_FPR64, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::FMOVDXHighr, Convert__Reg1_1__VectorReg1281_2__IndexRange1_11_3, AMFBS_HasFPARMv8, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange1_1 }, },
{ 1519 /* fmov */, AArch64::FMOVXDHighr, Convert__VectorReg1281_1__Reg1_3__IndexRange1_11_2, AMFBS_HasFPARMv8, { MCK__DOT_d, MCK_VectorReg128, MCK_IndexRange1_1, MCK_GPR64 }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::FCPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__FPImm1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_FPImm }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1519 /* fmov */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__imm_95_0__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK__HASH_0, MCK__DOT_0 }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1524 /* fmsb */, AArch64::FMSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1529 /* fmsub */, AArch64::FMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1529 /* fmsub */, AArch64::FMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1529 /* fmsub */, AArch64::FMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1535 /* fmul */, AArch64::FMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1535 /* fmul */, AArch64::FMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1535 /* fmul */, AArch64::FMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1535 /* fmul */, AArch64::FMULv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1535 /* fmul */, AArch64::FMULv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1535 /* fmul */, AArch64::FMULv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1535 /* fmul */, AArch64::FMULv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1535 /* fmul */, AArch64::FMULv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv2i64_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMULv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMULv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMULv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMULv1i64_indexed, Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1535 /* fmul */, AArch64::FMULv1i16_indexed, Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1535 /* fmul */, AArch64::FMULv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1535 /* fmul */, AArch64::FMUL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfTwo1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfTwo }, },
{ 1540 /* fmulx */, AArch64::FMULX16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1540 /* fmulx */, AArch64::FMULX32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1540 /* fmulx */, AArch64::FMULX64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1540 /* fmulx */, AArch64::FMULXv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2i64_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1540 /* fmulx */, AArch64::FMULXv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULXv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULXv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i64_indexed, Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR641_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i16_indexed, Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 1540 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1540 /* fmulx */, AArch64::FMULX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1546 /* fneg */, AArch64::FNEGHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1546 /* fneg */, AArch64::FNEGSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1546 /* fneg */, AArch64::FNEGDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1546 /* fneg */, AArch64::FNEGv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1546 /* fneg */, AArch64::FNEGv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1546 /* fneg */, AArch64::FNEGv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1546 /* fneg */, AArch64::FNEGv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1546 /* fneg */, AArch64::FNEGv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1546 /* fneg */, AArch64::FNEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1551 /* fnmad */, AArch64::FNMAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1557 /* fnmadd */, AArch64::FNMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1557 /* fnmadd */, AArch64::FNMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1557 /* fnmadd */, AArch64::FNMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1564 /* fnmla */, AArch64::FNMLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1570 /* fnmls */, AArch64::FNMLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1576 /* fnmsb */, AArch64::FNMSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1582 /* fnmsub */, AArch64::FNMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1589 /* fnmul */, AArch64::FNMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1589 /* fnmul */, AArch64::FNMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1589 /* fnmul */, AArch64::FNMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1595 /* frecpe */, AArch64::FRECPE_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1595 /* frecpe */, AArch64::FRECPEv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1595 /* frecpe */, AArch64::FRECPEv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1602 /* frecps */, AArch64::FRECPS16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1602 /* frecps */, AArch64::FRECPS32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1602 /* frecps */, AArch64::FRECPS64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1602 /* frecps */, AArch64::FRECPS_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1602 /* frecps */, AArch64::FRECPSv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1602 /* frecps */, AArch64::FRECPSv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1602 /* frecps */, AArch64::FRECPSv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1602 /* frecps */, AArch64::FRECPSv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1602 /* frecps */, AArch64::FRECPSv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1609 /* frecpx */, AArch64::FRECPXv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1609 /* frecpx */, AArch64::FRECPX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1616 /* frint32x */, AArch64::FRINT32XSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1616 /* frint32x */, AArch64::FRINT32XDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasFRInt3264, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1616 /* frint32x */, AArch64::FRINT32Xv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1625 /* frint32z */, AArch64::FRINT32ZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1625 /* frint32z */, AArch64::FRINT32ZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasFRInt3264, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1625 /* frint32z */, AArch64::FRINT32Zv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1634 /* frint64x */, AArch64::FRINT64XSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1634 /* frint64x */, AArch64::FRINT64XDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasFRInt3264, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1634 /* frint64x */, AArch64::FRINT64Xv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1643 /* frint64z */, AArch64::FRINT64ZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR32, MCK_FPR32 }, },
{ 1643 /* frint64z */, AArch64::FRINT64ZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFRInt3264, { MCK_FPR64, MCK_FPR64 }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasFRInt3264, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1643 /* frint64z */, AArch64::FRINT64Zv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasFRInt3264, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1652 /* frinta */, AArch64::FRINTAHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1652 /* frinta */, AArch64::FRINTASr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1652 /* frinta */, AArch64::FRINTADr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1652 /* frinta */, AArch64::FRINTAv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1652 /* frinta */, AArch64::FRINTAv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1652 /* frinta */, AArch64::FRINTAv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1652 /* frinta */, AArch64::FRINTAv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1652 /* frinta */, AArch64::FRINTAv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1652 /* frinta */, AArch64::FRINTA_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1659 /* frinti */, AArch64::FRINTIHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1659 /* frinti */, AArch64::FRINTISr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1659 /* frinti */, AArch64::FRINTIDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1659 /* frinti */, AArch64::FRINTIv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1659 /* frinti */, AArch64::FRINTIv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1659 /* frinti */, AArch64::FRINTIv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1659 /* frinti */, AArch64::FRINTIv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1659 /* frinti */, AArch64::FRINTIv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1659 /* frinti */, AArch64::FRINTI_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1666 /* frintm */, AArch64::FRINTMHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1666 /* frintm */, AArch64::FRINTMSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1666 /* frintm */, AArch64::FRINTMDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1666 /* frintm */, AArch64::FRINTMv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1666 /* frintm */, AArch64::FRINTMv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1666 /* frintm */, AArch64::FRINTMv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1666 /* frintm */, AArch64::FRINTMv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1666 /* frintm */, AArch64::FRINTMv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1666 /* frintm */, AArch64::FRINTM_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1673 /* frintn */, AArch64::FRINTNHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1673 /* frintn */, AArch64::FRINTNSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1673 /* frintn */, AArch64::FRINTNDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1673 /* frintn */, AArch64::FRINTNv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1673 /* frintn */, AArch64::FRINTNv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1673 /* frintn */, AArch64::FRINTNv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1673 /* frintn */, AArch64::FRINTNv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1673 /* frintn */, AArch64::FRINTNv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1673 /* frintn */, AArch64::FRINTN_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1680 /* frintp */, AArch64::FRINTPHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1680 /* frintp */, AArch64::FRINTPSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1680 /* frintp */, AArch64::FRINTPDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1680 /* frintp */, AArch64::FRINTPv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1680 /* frintp */, AArch64::FRINTPv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1680 /* frintp */, AArch64::FRINTPv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1680 /* frintp */, AArch64::FRINTPv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1680 /* frintp */, AArch64::FRINTPv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1680 /* frintp */, AArch64::FRINTP_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1687 /* frintx */, AArch64::FRINTXHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1687 /* frintx */, AArch64::FRINTXSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1687 /* frintx */, AArch64::FRINTXDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1687 /* frintx */, AArch64::FRINTXv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1687 /* frintx */, AArch64::FRINTXv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1687 /* frintx */, AArch64::FRINTXv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1687 /* frintx */, AArch64::FRINTXv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1687 /* frintx */, AArch64::FRINTXv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1687 /* frintx */, AArch64::FRINTX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1694 /* frintz */, AArch64::FRINTZHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1694 /* frintz */, AArch64::FRINTZSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1694 /* frintz */, AArch64::FRINTZDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1694 /* frintz */, AArch64::FRINTZv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1694 /* frintz */, AArch64::FRINTZv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1694 /* frintz */, AArch64::FRINTZv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1694 /* frintz */, AArch64::FRINTZv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1694 /* frintz */, AArch64::FRINTZv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1694 /* frintz */, AArch64::FRINTZ_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1f16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTE_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1701 /* frsqrte */, AArch64::FRSQRTEv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTS_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1709 /* frsqrts */, AArch64::FRSQRTSv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1717 /* fscale */, AArch64::FSCALE_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRTHr, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTSr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTDr, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1724 /* fsqrt */, AArch64::FSQRTv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 1724 /* fsqrt */, AArch64::FSQRT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUBHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 1730 /* fsub */, AArch64::FSUBSrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 1730 /* fsub */, AArch64::FSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUBv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1730 /* fsub */, AArch64::FSUBv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1730 /* fsub */, AArch64::FSUBv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 1730 /* fsub */, AArch64::FSUBv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1730 /* fsub */, AArch64::FSUBv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1730 /* fsub */, AArch64::FSUB_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1735 /* fsubr */, AArch64::FSUBR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEExactFPImmOperandHalfOne1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEExactFPImmOperandHalfOne }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_7 }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_7 }, },
{ 1741 /* ftmad */, AArch64::FTMAD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm0_71_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_7 }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1747 /* ftsmul */, AArch64::FTSMUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1754 /* ftssel */, AArch64::FTSSEL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1761 /* gmi */, AArch64::GMI, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64 }, },
{ 1765 /* hint */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_10 }, },
{ 1765 /* hint */, AArch64::AUTIA1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_12 }, },
{ 1765 /* hint */, AArch64::AUTIB1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_14 }, },
{ 1765 /* hint */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_24 }, },
{ 1765 /* hint */, AArch64::PACIASP, Convert_NoOperands, AMFBS_None, { MCK__HASH_25 }, },
{ 1765 /* hint */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_26 }, },
{ 1765 /* hint */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_None, { MCK__HASH_27 }, },
{ 1765 /* hint */, AArch64::AUTIAZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_28 }, },
{ 1765 /* hint */, AArch64::AUTIASP, Convert_NoOperands, AMFBS_None, { MCK__HASH_29 }, },
{ 1765 /* hint */, AArch64::AUTIBZ, Convert_NoOperands, AMFBS_None, { MCK__HASH_30 }, },
{ 1765 /* hint */, AArch64::AUTIBSP, Convert_NoOperands, AMFBS_None, { MCK__HASH_31 }, },
{ 1765 /* hint */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_None, { MCK__HASH_7 }, },
{ 1765 /* hint */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_None, { MCK__HASH_8 }, },
{ 1765 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, AMFBS_None, { MCK_Imm0_127 }, },
{ 1770 /* histcnt */, AArch64::HISTCNT_ZPzZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 1770 /* histcnt */, AArch64::HISTCNT_ZPzZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 1778 /* histseg */, AArch64::HISTSEG_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 1786 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 1790 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1794 /* incb */, AArch64::INCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 1799 /* incd */, AArch64::INCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1799 /* incd */, AArch64::INCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 1804 /* inch */, AArch64::INCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1804 /* inch */, AArch64::INCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1809 /* incp */, AArch64::INCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 1809 /* incp */, AArch64::INCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 1809 /* incp */, AArch64::INCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 1814 /* incw */, AArch64::INCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1814 /* incw */, AArch64::INCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 1819 /* index */, AArch64::INDEX_RR_H, Convert__SVEVectorHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_H, Convert__SVEVectorHReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_H, Convert__SVEVectorHReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_H, Convert__SVEVectorHReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_S, Convert__SVEVectorSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_S, Convert__SVEVectorSReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_S, Convert__SVEVectorSReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_S, Convert__SVEVectorSReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_D, Convert__SVEVectorDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64, MCK_GPR64 }, },
{ 1819 /* index */, AArch64::INDEX_RI_D, Convert__SVEVectorDReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_D, Convert__SVEVectorDReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SImm5, MCK_GPR64 }, },
{ 1819 /* index */, AArch64::INDEX_II_D, Convert__SVEVectorDReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SImm5, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_RR_B, Convert__SVEVectorBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_RI_B, Convert__SVEVectorBReg1_0__Reg1_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32, MCK_SImm5 }, },
{ 1819 /* index */, AArch64::INDEX_IR_B, Convert__SVEVectorBReg1_0__SImm51_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SImm5, MCK_GPR32 }, },
{ 1819 /* index */, AArch64::INDEX_II_B, Convert__SVEVectorBReg1_0__SImm51_1__SImm51_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SImm5, MCK_SImm5 }, },
{ 1825 /* ins */, AArch64::INSvi8gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_IndexRange0_15, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi64gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_IndexRange0_1, MCK_GPR64 }, },
{ 1825 /* ins */, AArch64::INSvi16gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_IndexRange0_7, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_GPR32 }, },
{ 1825 /* ins */, AArch64::INSvi8lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_IndexRange0_15, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 1825 /* ins */, AArch64::INSvi64lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_IndexRange0_1, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 1825 /* ins */, AArch64::INSvi16lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_IndexRange0_7, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 1825 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPR16 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPR64 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64 }, },
{ 1829 /* insr */, AArch64::INSR_ZV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_FPR8 }, },
{ 1829 /* insr */, AArch64::INSR_ZR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32 }, },
{ 1834 /* irg */, AArch64::IRG, Convert__Reg1_0__Reg1_1__regXZR, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp }, },
{ 1834 /* irg */, AArch64::IRG, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64 }, },
{ 1838 /* isb */, AArch64::ISB, Convert__imm_95_15, AMFBS_None, { }, },
{ 1838 /* isb */, AArch64::ISB, Convert__Barrier1_0, AMFBS_None, { MCK_Barrier }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1842 /* lasta */, AArch64::LASTA_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1842 /* lasta */, AArch64::LASTA_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1848 /* lastb */, AArch64::LASTB_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 1848 /* lastb */, AArch64::LASTB_RPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8, Convert__TypedVectorList1_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i64, Convert__TypedVectorList1_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i16, Convert__TypedVectorList1_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i32, Convert__TypedVectorList1_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 1854 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1854 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 1854 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1854 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i64, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i16, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1854 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1854 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1854 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1854 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::GLD1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1858 /* ld1b */, AArch64::LD1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::GLD1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1863 /* ld1d */, AArch64::LD1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::GLD1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1868 /* ld1h */, AArch64::LD1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1873 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1878 /* ld1rb */, AArch64::LD1RB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s8, MCK__93_ }, },
{ 1884 /* ld1rd */, AArch64::LD1RD_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s8, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1890 /* ld1rh */, AArch64::LD1RH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1896 /* ld1rqb */, AArch64::LD1RQ_B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1903 /* ld1rqd */, AArch64::LD1RQ_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1910 /* ld1rqh */, AArch64::LD1RQ_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1917 /* ld1rqw */, AArch64::LD1RQ_W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s16, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1924 /* ld1rsb */, AArch64::LD1RSB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s1, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1931 /* ld1rsh */, AArch64::LD1RSH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s2, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1938 /* ld1rsw */, AArch64::LD1RSW_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1945 /* ld1rw */, AArch64::LD1RW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__UImm6s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_UImm6s4, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::GLD1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1951 /* ld1sb */, AArch64::LD1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::GLD1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1957 /* ld1sh */, AArch64::LD1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::GLD1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1963 /* ld1sw */, AArch64::LD1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::GLD1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1969 /* ld1w */, AArch64::LD1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8, Convert__TypedVectorList2_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i64, Convert__TypedVectorList2_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i16, Convert__TypedVectorList2_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i32, Convert__TypedVectorList2_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 1974 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i64, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i16, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1974 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1974 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1974 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1974 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1978 /* ld2b */, AArch64::LD2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1978 /* ld2b */, AArch64::LD2B, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 1978 /* ld2b */, AArch64::LD2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 1983 /* ld2d */, AArch64::LD2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 1988 /* ld2h */, AArch64::LD2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 1993 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 1998 /* ld2w */, AArch64::LD2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 1998 /* ld2w */, AArch64::LD2W, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 1998 /* ld2w */, AArch64::LD2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s21_6, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8, Convert__TypedVectorList3_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i64, Convert__TypedVectorList3_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i16, Convert__TypedVectorList3_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i32, Convert__TypedVectorList3_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 2003 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i64, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i16, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2003 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2003 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2003 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2003 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2007 /* ld3b */, AArch64::LD3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2007 /* ld3b */, AArch64::LD3B, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2007 /* ld3b */, AArch64::LD3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2012 /* ld3d */, AArch64::LD3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2017 /* ld3h */, AArch64::LD3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 2022 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2027 /* ld3w */, AArch64::LD3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2027 /* ld3w */, AArch64::LD3W, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2027 /* ld3w */, AArch64::LD3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s31_6, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8, Convert__TypedVectorList4_081_0__Tie0_1_1__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i64, Convert__TypedVectorList4_0641_0__Tie0_1_1__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i16, Convert__TypedVectorList4_0161_0__Tie0_1_1__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i32, Convert__TypedVectorList4_0321_0__Tie0_1_1__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 2032 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i64, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i16, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__Tie1_1_1__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__Tie1_1_1__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__Tie1_1_1__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__Tie1_1_1__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2032 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2032 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2032 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2032 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1_2_2__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2036 /* ld4b */, AArch64::LD4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2036 /* ld4b */, AArch64::LD4B, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2036 /* ld4b */, AArch64::LD4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2041 /* ld4d */, AArch64::LD4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2046 /* ld4h */, AArch64::LD4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 2051 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 2056 /* ld4w */, AArch64::LD4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2056 /* ld4w */, AArch64::LD4W, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2056 /* ld4w */, AArch64::LD4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s41_6, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2061 /* ldadd */, AArch64::LDADDW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2061 /* ldadd */, AArch64::LDADDX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2067 /* ldadda */, AArch64::LDADDAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2067 /* ldadda */, AArch64::LDADDAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2074 /* ldaddab */, AArch64::LDADDAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2082 /* ldaddah */, AArch64::LDADDAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2090 /* ldaddal */, AArch64::LDADDALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2090 /* ldaddal */, AArch64::LDADDALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2098 /* ldaddalb */, AArch64::LDADDALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2107 /* ldaddalh */, AArch64::LDADDALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2116 /* ldaddb */, AArch64::LDADDB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2123 /* ldaddh */, AArch64::LDADDH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2130 /* ldaddl */, AArch64::LDADDLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2130 /* ldaddl */, AArch64::LDADDLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2137 /* ldaddlb */, AArch64::LDADDLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2145 /* ldaddlh */, AArch64::LDADDLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2153 /* ldapr */, AArch64::LDAPRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2153 /* ldapr */, AArch64::LDAPRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2159 /* ldaprb */, AArch64::LDAPRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2166 /* ldaprh */, AArch64::LDAPRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasRCPC, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2173 /* ldapur */, AArch64::LDAPURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2180 /* ldapurb */, AArch64::LDAPURBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2180 /* ldapurb */, AArch64::LDAPURBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2188 /* ldapurh */, AArch64::LDAPURHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2188 /* ldapurh */, AArch64::LDAPURHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2196 /* ldapursb */, AArch64::LDAPURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2205 /* ldapursh */, AArch64::LDAPURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2214 /* ldapursw */, AArch64::LDAPURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2214 /* ldapursw */, AArch64::LDAPURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2223 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2223 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2228 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2234 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2240 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2240 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2246 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2246 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2252 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2259 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2266 /* ldclr */, AArch64::LDCLRW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2266 /* ldclr */, AArch64::LDCLRX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2272 /* ldclra */, AArch64::LDCLRAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2272 /* ldclra */, AArch64::LDCLRAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2279 /* ldclrab */, AArch64::LDCLRAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2287 /* ldclrah */, AArch64::LDCLRAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2295 /* ldclral */, AArch64::LDCLRALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2295 /* ldclral */, AArch64::LDCLRALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2303 /* ldclralb */, AArch64::LDCLRALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2312 /* ldclralh */, AArch64::LDCLRALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2321 /* ldclrb */, AArch64::LDCLRB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2328 /* ldclrh */, AArch64::LDCLRH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2335 /* ldclrl */, AArch64::LDCLRLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2335 /* ldclrl */, AArch64::LDCLRLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2342 /* ldclrlb */, AArch64::LDCLRLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2350 /* ldclrlh */, AArch64::LDCLRLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2358 /* ldeor */, AArch64::LDEORW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2358 /* ldeor */, AArch64::LDEORX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2364 /* ldeora */, AArch64::LDEORAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2364 /* ldeora */, AArch64::LDEORAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2371 /* ldeorab */, AArch64::LDEORAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2379 /* ldeorah */, AArch64::LDEORAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2387 /* ldeoral */, AArch64::LDEORALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2387 /* ldeoral */, AArch64::LDEORALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2395 /* ldeoralb */, AArch64::LDEORALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2404 /* ldeoralh */, AArch64::LDEORALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2413 /* ldeorb */, AArch64::LDEORB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2420 /* ldeorh */, AArch64::LDEORH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2427 /* ldeorl */, AArch64::LDEORLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2427 /* ldeorl */, AArch64::LDEORLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2434 /* ldeorlb */, AArch64::LDEORLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2442 /* ldeorlh */, AArch64::LDEORLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_REAL, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::LDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2450 /* ldff1b */, AArch64::GLDFF1B_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted64, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::LDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted64, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2457 /* ldff1d */, AArch64::GLDFF1D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::LDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2464 /* ldff1h */, AArch64::GLDFF1H_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_H_REAL, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW328Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::LDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted8, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW648Only1_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 2471 /* ldff1sb */, AArch64::GLDFF1SB_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Imm0_311_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32161_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_S_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::LDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted16, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64161_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2479 /* ldff1sh */, AArch64::GLDFF1SH_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s21_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::LDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2487 /* ldff1sw */, AArch64::GLDFF1SW_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__regXZR, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_SCALED_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_SXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_SCALED_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW32321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_UXTW_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW3281_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_IMM_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::LDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64shifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64shifted32, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendLSL6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_SXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendSXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW64321_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_UXTW_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__ZPRExtendUXTW6481_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 2495 /* ldff1w */, AArch64::GLDFF1W_D_IMM_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__UImm5s41_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 2502 /* ldg */, AArch64::LDG, Convert__Reg1_0__Tie0_1_1__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2502 /* ldg */, AArch64::LDG, Convert__Reg1_0__Tie0_1_1__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 2506 /* ldgm */, AArch64::LDGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2511 /* ldlar */, AArch64::LDLARW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2511 /* ldlar */, AArch64::LDLARX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2517 /* ldlarb */, AArch64::LDLARB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2524 /* ldlarh */, AArch64::LDLARH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2531 /* ldnf1b */, AArch64::LDNF1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2538 /* ldnf1d */, AArch64::LDNF1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2545 /* ldnf1h */, AArch64::LDNF1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2552 /* ldnf1sb */, AArch64::LDNF1SB_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2560 /* ldnf1sh */, AArch64::LDNF1SH_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2568 /* ldnf1sw */, AArch64::LDNF1SW_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2576 /* ldnf1w */, AArch64::LDNF1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2583 /* ldnp */, AArch64::LDNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRR, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRR, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted81_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2588 /* ldnt1b */, AArch64::LDNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRR, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRR, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted641_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2595 /* ldnt1d */, AArch64::LDNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRR, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRR, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted161_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2602 /* ldnt1h */, AArch64::LDNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2609 /* ldnt1sb */, AArch64::LDNT1SB_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2617 /* ldnt1sh */, AArch64::LDNT1SH_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2625 /* ldnt1sw */, AArch64::LDNT1SW_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRR, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRR, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__GPR64NoXZRshifted321_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_5__Reg1_6, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2633 /* ldnt1w */, AArch64::LDNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_5__SImm4s11_6, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2640 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 2640 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2640 /* ldp */, AArch64::LDPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 2640 /* ldp */, AArch64::LDPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 2640 /* ldp */, AArch64::LDPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 2640 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2640 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 2644 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 2644 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR32, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRSl, Convert__FPRAsmOperandFPR321_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRDl, Convert__FPRAsmOperandFPR641_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRQl, Convert__FPRAsmOperandFPR1281_0__PCRelLabel191_1, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_PCRelLabel19 }, },
{ 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2650 /* ldr */, AArch64::LDR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2650 /* ldr */, AArch64::LDR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAindexed, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__EXCLAIM_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAindexed, Convert__Reg1_0__Reg1_2__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_ }, },
{ 2654 /* ldraa */, AArch64::LDRAAwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2660 /* ldrab */, AArch64::LDRABindexed, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2660 /* ldrab */, AArch64::LDRABwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__imm_95_0, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__EXCLAIM_ }, },
{ 2660 /* ldrab */, AArch64::LDRABindexed, Convert__Reg1_0__Reg1_2__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_ }, },
{ 2660 /* ldrab */, AArch64::LDRABwriteback, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm10s81_3, AMFBS_HasPA, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm10s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2666 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2671 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 2676 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 2682 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, AMFBS_None, { MCK_GPR64, MCK_PCRelLabel19 }, },
{ 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 2688 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 2694 /* ldset */, AArch64::LDSETW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2694 /* ldset */, AArch64::LDSETX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2700 /* ldseta */, AArch64::LDSETAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2700 /* ldseta */, AArch64::LDSETAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2707 /* ldsetab */, AArch64::LDSETAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2715 /* ldsetah */, AArch64::LDSETAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2723 /* ldsetal */, AArch64::LDSETALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2723 /* ldsetal */, AArch64::LDSETALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2731 /* ldsetalb */, AArch64::LDSETALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2740 /* ldsetalh */, AArch64::LDSETALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2749 /* ldsetb */, AArch64::LDSETB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2756 /* ldseth */, AArch64::LDSETH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2763 /* ldsetl */, AArch64::LDSETLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2763 /* ldsetl */, AArch64::LDSETLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2770 /* ldsetlb */, AArch64::LDSETLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2778 /* ldsetlh */, AArch64::LDSETLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2786 /* ldsmax */, AArch64::LDSMAXW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2786 /* ldsmax */, AArch64::LDSMAXX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2793 /* ldsmaxa */, AArch64::LDSMAXAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2793 /* ldsmaxa */, AArch64::LDSMAXAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2801 /* ldsmaxab */, AArch64::LDSMAXAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2810 /* ldsmaxah */, AArch64::LDSMAXAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2819 /* ldsmaxal */, AArch64::LDSMAXALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2819 /* ldsmaxal */, AArch64::LDSMAXALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2828 /* ldsmaxalb */, AArch64::LDSMAXALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2838 /* ldsmaxalh */, AArch64::LDSMAXALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2848 /* ldsmaxb */, AArch64::LDSMAXB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2856 /* ldsmaxh */, AArch64::LDSMAXH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2864 /* ldsmaxl */, AArch64::LDSMAXLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2864 /* ldsmaxl */, AArch64::LDSMAXLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2872 /* ldsmaxlb */, AArch64::LDSMAXLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2881 /* ldsmaxlh */, AArch64::LDSMAXLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2890 /* ldsmin */, AArch64::LDSMINW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2890 /* ldsmin */, AArch64::LDSMINX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2897 /* ldsmina */, AArch64::LDSMINAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2897 /* ldsmina */, AArch64::LDSMINAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2905 /* ldsminab */, AArch64::LDSMINAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2914 /* ldsminah */, AArch64::LDSMINAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2923 /* ldsminal */, AArch64::LDSMINALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2923 /* ldsminal */, AArch64::LDSMINALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2932 /* ldsminalb */, AArch64::LDSMINALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2942 /* ldsminalh */, AArch64::LDSMINALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2952 /* ldsminb */, AArch64::LDSMINB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2960 /* ldsminh */, AArch64::LDSMINH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2968 /* ldsminl */, AArch64::LDSMINLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2968 /* ldsminl */, AArch64::LDSMINLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2976 /* ldsminlb */, AArch64::LDSMINLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2985 /* ldsminlh */, AArch64::LDSMINLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2994 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 2999 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3005 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3011 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3018 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3025 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3032 /* ldumax */, AArch64::LDUMAXW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3032 /* ldumax */, AArch64::LDUMAXX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3039 /* ldumaxa */, AArch64::LDUMAXAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3039 /* ldumaxa */, AArch64::LDUMAXAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3047 /* ldumaxab */, AArch64::LDUMAXAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3056 /* ldumaxah */, AArch64::LDUMAXAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3065 /* ldumaxal */, AArch64::LDUMAXALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3065 /* ldumaxal */, AArch64::LDUMAXALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3074 /* ldumaxalb */, AArch64::LDUMAXALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3084 /* ldumaxalh */, AArch64::LDUMAXALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3094 /* ldumaxb */, AArch64::LDUMAXB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3102 /* ldumaxh */, AArch64::LDUMAXH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3110 /* ldumaxl */, AArch64::LDUMAXLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3110 /* ldumaxl */, AArch64::LDUMAXLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3118 /* ldumaxlb */, AArch64::LDUMAXLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3127 /* ldumaxlh */, AArch64::LDUMAXLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3136 /* ldumin */, AArch64::LDUMINW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3136 /* ldumin */, AArch64::LDUMINX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3143 /* ldumina */, AArch64::LDUMINAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3143 /* ldumina */, AArch64::LDUMINAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3151 /* lduminab */, AArch64::LDUMINAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3160 /* lduminah */, AArch64::LDUMINAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3169 /* lduminal */, AArch64::LDUMINALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3169 /* lduminal */, AArch64::LDUMINALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3178 /* lduminalb */, AArch64::LDUMINALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3188 /* lduminalh */, AArch64::LDUMINALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3198 /* lduminb */, AArch64::LDUMINB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3206 /* lduminh */, AArch64::LDUMINH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3214 /* lduminl */, AArch64::LDUMINLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3214 /* lduminl */, AArch64::LDUMINLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3222 /* lduminlb */, AArch64::LDUMINLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3231 /* lduminlh */, AArch64::LDUMINLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3240 /* ldur */, AArch64::LDURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3245 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3251 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3257 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3264 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3271 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3278 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3278 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3283 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3283 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3288 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3294 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 3300 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3300 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm0_631_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 3300 /* lsl */, AArch64::LSL_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 3300 /* lsl */, AArch64::LSL_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3300 /* lsl */, AArch64::LSL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3304 /* lslr */, AArch64::LSLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3309 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3309 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3314 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3314 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 3314 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3314 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 3314 /* lsr */, AArch64::LSR_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 3314 /* lsr */, AArch64::LSR_WIDE_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorDReg }, },
{ 3314 /* lsr */, AArch64::LSR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3318 /* lsrr */, AArch64::LSRR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3323 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3323 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3328 /* mad */, AArch64::MAD_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3332 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3332 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3337 /* match */, AArch64::MATCH_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3337 /* match */, AArch64::MATCH_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3343 /* mla */, AArch64::MLAv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3343 /* mla */, AArch64::MLAv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3343 /* mla */, AArch64::MLAv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3343 /* mla */, AArch64::MLAv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3343 /* mla */, AArch64::MLAv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3343 /* mla */, AArch64::MLAv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLA_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3343 /* mla */, AArch64::MLAv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLAv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3343 /* mla */, AArch64::MLAv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3343 /* mla */, AArch64::MLAv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3343 /* mla */, AArch64::MLA_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3347 /* mls */, AArch64::MLSv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3347 /* mls */, AArch64::MLSv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3347 /* mls */, AArch64::MLSv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3347 /* mls */, AArch64::MLSv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3347 /* mls */, AArch64::MLSv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3347 /* mls */, AArch64::MLSv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLS_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3347 /* mls */, AArch64::MLSv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLSv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLSv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3347 /* mls */, AArch64::MLSv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3347 /* mls */, AArch64::MLS_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3351 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3351 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly }, },
{ 3356 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, AMFBS_None, { MCK_GPR32sp, MCK_LogicalImm32 }, },
{ 3356 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
{ 3356 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
{ 3356 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
{ 3356 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly }, },
{ 3356 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, AMFBS_None, { MCK_GPR64sp, MCK_LogicalImm64 }, },
{ 3356 /* mov */, AArch64::ORR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__FPR128asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_FPR128asZPR }, },
{ 3356 /* mov */, AArch64::DUP_ZR_H, Convert__SVEVectorHReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_H, Convert__SVEVectorHReg1_0__SVECpyImm162_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__FPR16asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_FPR16asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorHReg1_0__SVEPreferredLogicalImm161_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPreferredLogicalImm16 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_S, Convert__SVEVectorSReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_S, Convert__SVEVectorSReg1_0__SVECpyImm322_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__FPR32asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_FPR32asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorSReg1_0__SVEPreferredLogicalImm321_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPreferredLogicalImm32 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_D, Convert__SVEVectorDReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_D, Convert__SVEVectorDReg1_0__SVECpyImm642_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::ORR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__FPR64asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_FPR64asZPR }, },
{ 3356 /* mov */, AArch64::DUPM_ZI, Convert__SVEVectorDReg1_0__SVEPreferredLogicalImm641_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPreferredLogicalImm64 }, },
{ 3356 /* mov */, AArch64::DUP_ZR_B, Convert__SVEVectorBReg1_0__Reg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::DUP_ZI_B, Convert__SVEVectorBReg1_0__SVECpyImm82_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVECpyImm8 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__FPR8asZPR1_1__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_FPR8asZPR }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3356 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3356 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3356 /* mov */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__IndexRange0_71_2, AMFBS_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 3356 /* mov */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__IndexRange0_31_2, AMFBS_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3356 /* mov */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__IndexRange0_11_2, AMFBS_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__IndexRange0_151_2, AMFBS_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_Q, Convert__SVEVectorQReg1_0__SVEVectorQReg1_1__SVEIndexRange0_31_2, AMFBS_HasSVE, { MCK_SVEVectorQReg, MCK_SVEVectorQReg, MCK_SVEIndexRange0_3 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEIndexRange0_311_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEIndexRange0_31 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEIndexRange0_151_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEIndexRange0_15 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEIndexRange0_71_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEIndexRange0_7 }, },
{ 3356 /* mov */, AArch64::DUP_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEIndexRange0_631_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEIndexRange0_63 }, },
{ 3356 /* mov */, AArch64::INSvi8gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_IndexRange0_15, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::UMOVvi64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_11_3, AMFBS_HasNEON, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::INSvi64gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_IndexRange0_1, MCK_GPR64 }, },
{ 3356 /* mov */, AArch64::INSvi16gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_IndexRange0_7, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3356 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__Reg1_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_GPR32 }, },
{ 3356 /* mov */, AArch64::INSvi8lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_151_2__VectorReg1281_3__IndexRange0_151_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_IndexRange0_15, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 3356 /* mov */, AArch64::INSvi64lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_11_2__VectorReg1281_3__IndexRange0_11_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_IndexRange0_1, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 3356 /* mov */, AArch64::INSvi16lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_71_2__VectorReg1281_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_IndexRange0_7, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 3356 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0_2_2__IndexRange0_31_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_IndexRange0_3, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3356 /* mov */, AArch64::SEL_PPPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::AND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR16 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVECpyImm162_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm16 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR32 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_4__SVEVectorSReg1_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVECpyImm322_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm32 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR64 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR64sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_4__SVEVectorDReg1_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVECpyImm642_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm64 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmV_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_FPR8 }, },
{ 3356 /* mov */, AArch64::CPY_ZPmR_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__Reg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_GPR32sp }, },
{ 3356 /* mov */, AArch64::CPY_ZPmI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVECpyImm8 }, },
{ 3356 /* mov */, AArch64::SEL_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3356 /* mov */, AArch64::CPY_ZPzI_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVECpyImm82_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVECpyImm8 }, },
{ 3360 /* movi */, AArch64::MOVID, Convert__Reg1_0__SIMDImmType101_1, AMFBS_HasNEON, { MCK_FPR64, MCK_SIMDImmType10 }, },
{ 3360 /* movi */, AArch64::MOVIv16b_ns, Convert__VectorReg1281_1__Imm0_2551_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv2d_ns, Convert__VectorReg1281_1__SIMDImmType101_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_SIMDImmType10 }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8b_ns, Convert__VectorReg641_1__Imm0_2551_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3360 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv2s_msl, Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3360 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv4s_msl, Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3360 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3365 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3365 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0_1_1__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3370 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3370 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZZ, Convert__SVEVectorAnyReg1_0__SVEVectorAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK_SVEVectorAnyReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorSReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorDReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3375 /* movprfx */, AArch64::MOVPRFX_ZPzZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg }, },
{ 3383 /* movs */, AArch64::ORRS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_1__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3383 /* movs */, AArch64::ANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_4, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG0 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_MovWSymbolG1 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG01_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG0 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG11_1__imm_95_16, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG1 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG21_1__imm_95_32, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG2 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovWSymbolG31_1__imm_95_48, AMFBS_None, { MCK_GPR64, MCK_MovWSymbolG3 }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535 }, },
{ 3388 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
{ 3388 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, AMFBS_None, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
{ 3393 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, AMFBS_None, { MCK_GPR64, MCK_MRSSystemRegister }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorDReg1_4__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3397 /* msb */, AArch64::MSB_ZPmZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3401 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, AMFBS_None, { MCK_MSRSystemRegister, MCK_GPR64 }, },
{ 3401 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
{ 3401 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, AMFBS_None, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
{ 3405 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3405 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3410 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3410 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3410 /* mul */, AArch64::MUL_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3410 /* mul */, AArch64::MUL_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3410 /* mul */, AArch64::MULv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3410 /* mul */, AArch64::MULv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3410 /* mul */, AArch64::MULv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3410 /* mul */, AArch64::MULv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3410 /* mul */, AArch64::MULv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3410 /* mul */, AArch64::MULv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MUL_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 3410 /* mul */, AArch64::MULv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MULv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3410 /* mul */, AArch64::MULv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 3410 /* mul */, AArch64::MULv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3410 /* mul */, AArch64::MUL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3414 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_None, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3414 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_None, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3414 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3414 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3418 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv2s_msl, Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv4s_msl, Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_MoveVecShifter }, },
{ 3418 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3423 /* nand */, AArch64::NAND_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3428 /* nands */, AArch64::NANDS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3434 /* nbsl */, AArch64::NBSL_ZZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3439 /* neg */, AArch64::NEGv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3439 /* neg */, AArch64::NEGv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3439 /* neg */, AArch64::NEGv2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3439 /* neg */, AArch64::NEGv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3439 /* neg */, AArch64::NEGv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3439 /* neg */, AArch64::NEGv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3439 /* neg */, AArch64::NEGv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3439 /* neg */, AArch64::NEGv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3439 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 3439 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3439 /* neg */, AArch64::NEG_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3443 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 3443 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 3448 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3448 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3452 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3452 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3457 /* nmatch */, AArch64::NMATCH_PPzZZ_H, Convert__SVEPredicateHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3457 /* nmatch */, AArch64::NMATCH_PPzZZ_B, Convert__SVEPredicateBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_z, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3464 /* nop */, AArch64::HINT, Convert__imm_95_0, AMFBS_None, { }, },
{ 3468 /* nor */, AArch64::NOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3472 /* nors */, AArch64::NORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3477 /* not */, AArch64::NOTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3477 /* not */, AArch64::NOTv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3477 /* not */, AArch64::EOR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3477 /* not */, AArch64::NOT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3481 /* nots */, AArch64::EORS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg }, },
{ 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3486 /* orn */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
{ 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3486 /* orn */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm16Not1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm32Not1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm64Not1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64Not }, },
{ 3486 /* orn */, AArch64::ORR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm8Not1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8Not }, },
{ 3486 /* orn */, AArch64::ORNv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3486 /* orn */, AArch64::ORNv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3486 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3486 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3486 /* orn */, AArch64::ORN_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3490 /* orns */, AArch64::ORNS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3495 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
{ 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3495 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0_1_1__Imm0_2551_2__imm_95_0, AMFBS_None, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorHReg1_0__Tie0_1_2__SVELogicalImm161_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVELogicalImm16 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorSReg1_0__Tie0_1_2__SVELogicalImm321_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVELogicalImm32 }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorDReg1_0__Tie0_1_2__LogicalImm641_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_LogicalImm64 }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3495 /* orr */, AArch64::ORR_ZZZ, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3495 /* orr */, AArch64::ORR_ZI, Convert__SVEVectorBReg1_0__Tie0_1_2__SVELogicalImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVELogicalImm8 }, },
{ 3495 /* orr */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3495 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3495 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3495 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecShifter1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
{ 3495 /* orr */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3495 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0_2_2__Imm0_2551_2__LogicalVecHalfWordShifter1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
{ 3495 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 3495 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 3495 /* orr */, AArch64::ORR_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3495 /* orr */, AArch64::ORR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3499 /* orrs */, AArch64::ORRS_PPzPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_4__SVEPredicateBReg1_5, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 3504 /* orv */, AArch64::ORV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 3508 /* pacda */, AArch64::PACDA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3514 /* pacdb */, AArch64::PACDB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3520 /* pacdza */, AArch64::PACDZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3527 /* pacdzb */, AArch64::PACDZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3534 /* pacga */, AArch64::PACGA, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64, MCK_GPR64sp }, },
{ 3540 /* pacia */, AArch64::PACIA, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3546 /* pacia1716 */, AArch64::PACIA1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3556 /* paciasp */, AArch64::PACIASP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3564 /* paciaz */, AArch64::PACIAZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3571 /* pacib */, AArch64::PACIB, Convert__Reg1_0__Reg1_1, AMFBS_HasPA, { MCK_GPR64, MCK_GPR64sp }, },
{ 3577 /* pacib1716 */, AArch64::PACIB1716, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3587 /* pacibsp */, AArch64::PACIBSP, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3595 /* pacibz */, AArch64::PACIBZ, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3602 /* paciza */, AArch64::PACIZA, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3609 /* pacizb */, AArch64::PACIZB, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 3616 /* pfalse */, AArch64::PFALSE, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3623 /* pfirst */, AArch64::PFIRST_B, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3630 /* pmul */, AArch64::PMUL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3630 /* pmul */, AArch64::PMULv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3630 /* pmul */, AArch64::PMULv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3635 /* pmull */, AArch64::PMULLv1i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasAES, { MCK__DOT_1q, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3635 /* pmull */, AArch64::PMULLv8i8, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3641 /* pmull2 */, AArch64::PMULLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasAES, { MCK__DOT_1q, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3641 /* pmull2 */, AArch64::PMULLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_Q, Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorQReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3648 /* pmullb */, AArch64::PMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_Q, Convert__SVEVectorQReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2AES, { MCK_SVEVectorQReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3655 /* pmullt */, AArch64::PMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_H, Convert__SVEPredicateHReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateHReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_S, Convert__SVEPredicateSReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateSReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_D, Convert__SVEPredicateDReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateDReg }, },
{ 3662 /* pnext */, AArch64::PNEXT_B, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3668 /* prfb */, AArch64::PRFB_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 3668 /* prfb */, AArch64::PRFB_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3264, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3264, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s8, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 3673 /* prfd */, AArch64::PRFD_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 3678 /* prfh */, AArch64::PRFH_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, AMFBS_None, { MCK_Prefetch, MCK_PCRelLabel19 }, },
{ 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 3683 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3688 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFS_PRR, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_SXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_UXTW_SCALED, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_S_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_D_PZI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 3694 /* prfw */, AArch64::PRFW_PRI, Convert__SVEPrefetch1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm6s11_4, AMFBS_HasSVE, { MCK_SVEPrefetch, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm6s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 3699 /* psb */, AArch64::HINT, Convert__PSBHint1_0, AMFBS_HasSPE, { MCK_PSBHint }, },
{ 3703 /* pssbb */, AArch64::DSB, Convert__imm_95_4, AMFBS_None, { }, },
{ 3709 /* ptest */, AArch64::PTEST_PP, Convert__SVEPredicateAnyReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_H, Convert__SVEPredicateHReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateHReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_S, Convert__SVEPredicateSReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateSReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_D, Convert__SVEPredicateDReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateDReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_B, Convert__SVEPredicateBReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3715 /* ptrue */, AArch64::PTRUE_H, Convert__SVEPredicateHReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_S, Convert__SVEPredicateSReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_D, Convert__SVEPredicateDReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPattern }, },
{ 3715 /* ptrue */, AArch64::PTRUE_B, Convert__SVEPredicateBReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_H, Convert__SVEPredicateHReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateHReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_S, Convert__SVEPredicateSReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateSReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_D, Convert__SVEPredicateDReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateDReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_B, Convert__SVEPredicateBReg1_0__imm_95_31, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3721 /* ptrues */, AArch64::PTRUES_H, Convert__SVEPredicateHReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_S, Convert__SVEPredicateSReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_D, Convert__SVEPredicateDReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPattern }, },
{ 3721 /* ptrues */, AArch64::PTRUES_B, Convert__SVEPredicateBReg1_0__SVEPattern1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPattern }, },
{ 3728 /* punpkhi */, AArch64::PUNPKHI_PP, Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateBReg }, },
{ 3736 /* punpklo */, AArch64::PUNPKLO_PP, Convert__SVEPredicateHReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateBReg }, },
{ 3744 /* raddhn */, AArch64::RADDHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3744 /* raddhn */, AArch64::RADDHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3744 /* raddhn */, AArch64::RADDHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3751 /* raddhn2 */, AArch64::RADDHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3759 /* raddhnb */, AArch64::RADDHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3767 /* raddhnt */, AArch64::RADDHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3775 /* rax1 */, AArch64::RAX1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2SHA3, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3775 /* rax1 */, AArch64::RAX1, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3780 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3780 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3780 /* rbit */, AArch64::RBITv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3780 /* rbit */, AArch64::RBITv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3780 /* rbit */, AArch64::RBIT_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3785 /* rdffr */, AArch64::RDFFR_P, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 3785 /* rdffr */, AArch64::RDFFR_PPz, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z }, },
{ 3791 /* rdffrs */, AArch64::RDFFRS_PPz, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK__47_, MCK_z }, },
{ 3798 /* rdvl */, AArch64::RDVLI_XI, Convert__Reg1_0__SImm61_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SImm6 }, },
{ 3803 /* ret */, AArch64::RET, Convert__regLR, AMFBS_None, { }, },
{ 3803 /* ret */, AArch64::RET, Convert__Reg1_0, AMFBS_None, { MCK_GPR64 }, },
{ 3807 /* retaa */, AArch64::RETAA, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3813 /* retab */, AArch64::RETAB, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 3819 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3819 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3819 /* rev */, AArch64::REV_PP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 3819 /* rev */, AArch64::REV_PP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 3819 /* rev */, AArch64::REV_PP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 3819 /* rev */, AArch64::REV_PP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3819 /* rev */, AArch64::REV_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3823 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 3823 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3823 /* rev16 */, AArch64::REV16v16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3823 /* rev16 */, AArch64::REV16v8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3829 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3829 /* rev32 */, AArch64::REV32v16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3829 /* rev32 */, AArch64::REV32v4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3829 /* rev32 */, AArch64::REV32v8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3829 /* rev32 */, AArch64::REV32v8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3835 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 3835 /* rev64 */, AArch64::REV64v16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3835 /* rev64 */, AArch64::REV64v2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3835 /* rev64 */, AArch64::REV64v4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3835 /* rev64 */, AArch64::REV64v4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3835 /* rev64 */, AArch64::REV64v8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3835 /* rev64 */, AArch64::REV64v8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3841 /* revb */, AArch64::REVB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3846 /* revh */, AArch64::REVH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3846 /* revh */, AArch64::REVH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3851 /* revw */, AArch64::REVW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 3856 /* rmif */, AArch64::RMIF, Convert__imm_95_0__imm_95_0__imm_95_0, AMFBS_HasFMI, { }, },
{ 3861 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3861 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
{ 3861 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3861 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
{ 3865 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 3865 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 3870 /* rshrn */, AArch64::RSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 3876 /* rshrn2 */, AArch64::RSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 3883 /* rshrnb */, AArch64::RSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 3890 /* rshrnt */, AArch64::RSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3897 /* rsubhn */, AArch64::RSUBHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3904 /* rsubhn2 */, AArch64::RSUBHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3912 /* rsubhnb */, AArch64::RSUBHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3920 /* rsubhnt */, AArch64::RSUBHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3928 /* saba */, AArch64::SABA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3928 /* saba */, AArch64::SABAv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3928 /* saba */, AArch64::SABAv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3928 /* saba */, AArch64::SABAv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3928 /* saba */, AArch64::SABAv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3928 /* saba */, AArch64::SABAv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3928 /* saba */, AArch64::SABAv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3933 /* sabal */, AArch64::SABALv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3933 /* sabal */, AArch64::SABALv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3933 /* sabal */, AArch64::SABALv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3939 /* sabal2 */, AArch64::SABALv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3939 /* sabal2 */, AArch64::SABALv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3939 /* sabal2 */, AArch64::SABALv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3946 /* sabalb */, AArch64::SABALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3953 /* sabalt */, AArch64::SABALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3960 /* sabd */, AArch64::SABDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3960 /* sabd */, AArch64::SABDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3960 /* sabd */, AArch64::SABDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3960 /* sabd */, AArch64::SABDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3960 /* sabd */, AArch64::SABDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3960 /* sabd */, AArch64::SABDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 3960 /* sabd */, AArch64::SABD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3965 /* sabdl */, AArch64::SABDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3965 /* sabdl */, AArch64::SABDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3965 /* sabdl */, AArch64::SABDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3971 /* sabdl2 */, AArch64::SABDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3978 /* sabdlb */, AArch64::SABDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 3985 /* sabdlt */, AArch64::SABDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 3992 /* sadalp */, AArch64::SADALPv2i32_v1i64, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3992 /* sadalp */, AArch64::SADALPv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3992 /* sadalp */, AArch64::SADALPv4i16_v2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3992 /* sadalp */, AArch64::SADALPv8i8_v4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3992 /* sadalp */, AArch64::SADALPv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3992 /* sadalp */, AArch64::SADALPv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 3992 /* sadalp */, AArch64::SADALP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 3999 /* saddl */, AArch64::SADDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3999 /* saddl */, AArch64::SADDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 3999 /* saddl */, AArch64::SADDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4005 /* saddl2 */, AArch64::SADDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4005 /* saddl2 */, AArch64::SADDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4005 /* saddl2 */, AArch64::SADDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4012 /* saddlb */, AArch64::SADDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4019 /* saddlbt */, AArch64::SADDLBT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4027 /* saddlp */, AArch64::SADDLPv2i32_v1i64, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4027 /* saddlp */, AArch64::SADDLPv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4027 /* saddlp */, AArch64::SADDLPv4i16_v2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4027 /* saddlp */, AArch64::SADDLPv8i8_v4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4027 /* saddlp */, AArch64::SADDLPv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4027 /* saddlp */, AArch64::SADDLPv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4034 /* saddlt */, AArch64::SADDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4041 /* saddlv */, AArch64::SADDLVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR16, MCK_VectorReg128 }, },
{ 4041 /* saddlv */, AArch64::SADDLVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR32, MCK_VectorReg64 }, },
{ 4041 /* saddlv */, AArch64::SADDLVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR64, MCK_VectorReg128 }, },
{ 4041 /* saddlv */, AArch64::SADDLVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR16, MCK_VectorReg64 }, },
{ 4041 /* saddlv */, AArch64::SADDLVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR32, MCK_VectorReg128 }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4048 /* saddv */, AArch64::SADDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4054 /* saddw */, AArch64::SADDWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 4054 /* saddw */, AArch64::SADDWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 4054 /* saddw */, AArch64::SADDWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 4060 /* saddw2 */, AArch64::SADDWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4060 /* saddw2 */, AArch64::SADDWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4060 /* saddw2 */, AArch64::SADDWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 4067 /* saddwb */, AArch64::SADDWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 4074 /* saddwt */, AArch64::SADDWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 4081 /* sb */, AArch64::SB, Convert_NoOperands, AMFBS_HasSB, { }, },
{ 4084 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4084 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4088 /* sbclb */, AArch64::SBCLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4088 /* sbclb */, AArch64::SBCLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4094 /* sbclt */, AArch64::SBCLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4094 /* sbclt */, AArch64::SBCLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4100 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4100 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4105 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 4105 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUWDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFUXDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4110 /* scvtf */, AArch64::SCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4110 /* scvtf */, AArch64::SCVTFv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4110 /* scvtf */, AArch64::SCVTF_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4116 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 4116 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4116 /* sdiv */, AArch64::SDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4116 /* sdiv */, AArch64::SDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4121 /* sdivr */, AArch64::SDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4121 /* sdivr */, AArch64::SDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4127 /* sdot */, AArch64::SDOTv16i8, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasDotProd, { }, },
{ 4127 /* sdot */, AArch64::SDOTv8i8, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasDotProd, { }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4127 /* sdot */, AArch64::SDOTlanev16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasDotProd, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4127 /* sdot */, AArch64::SDOTlanev8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasDotProd, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3 }, },
{ 4127 /* sdot */, AArch64::SDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1 }, },
{ 4132 /* sel */, AArch64::SEL_PPPP, Convert__SVEPredicateBReg1_0__SVEPredicateAnyReg1_1__SVEPredicateBReg1_2__SVEPredicateBReg1_3, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateAnyReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicateAnyReg1_1__SVEVectorHReg1_2__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicateAnyReg1_1__SVEVectorSReg1_2__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicateAnyReg1_1__SVEVectorDReg1_2__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4132 /* sel */, AArch64::SEL_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicateAnyReg1_1__SVEVectorBReg1_2__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicateAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4136 /* setf16 */, AArch64::SETF16, Convert__imm_95_0, AMFBS_HasFMI, { }, },
{ 4143 /* setf8 */, AArch64::SETF8, Convert__imm_95_0, AMFBS_HasFMI, { }, },
{ 4149 /* setffr */, AArch64::SETFFR, Convert_NoOperands, AMFBS_HasSVE, { }, },
{ 4156 /* sev */, AArch64::HINT, Convert__imm_95_4, AMFBS_None, { }, },
{ 4160 /* sevl */, AArch64::HINT, Convert__imm_95_5, AMFBS_None, { }, },
{ 4165 /* sha1c */, AArch64::SHA1Crrr, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
{ 4171 /* sha1h */, AArch64::SHA1Hrr, Convert__Reg1_0__Reg1_1, AMFBS_HasSHA2, { MCK_FPR32, MCK_FPR32 }, },
{ 4177 /* sha1m */, AArch64::SHA1Mrrr, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
{ 4183 /* sha1p */, AArch64::SHA1Prrr, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
{ 4189 /* sha1su0 */, AArch64::SHA1SU0rrr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4197 /* sha1su1 */, AArch64::SHA1SU1rr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4205 /* sha256h */, AArch64::SHA256Hrrr, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
{ 4213 /* sha256h2 */, AArch64::SHA256H2rrr, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
{ 4222 /* sha256su0 */, AArch64::SHA256SU0rr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4232 /* sha256su1 */, AArch64::SHA256SU1rrr, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSHA2, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4242 /* sha512h */, AArch64::SHA512H, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
{ 4250 /* sha512h2 */, AArch64::SHA512H2, Convert__Reg1_1__Tie0_2_2__Reg1_2__VectorReg1281_3, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
{ 4259 /* sha512su0 */, AArch64::SHA512SU0, Convert__VectorReg1281_1__VectorReg1281_2__Tie0_1_1, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4269 /* sha512su1 */, AArch64::SHA512SU1, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4279 /* shadd */, AArch64::SHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4279 /* shadd */, AArch64::SHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4279 /* shadd */, AArch64::SHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4279 /* shadd */, AArch64::SHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4279 /* shadd */, AArch64::SHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4279 /* shadd */, AArch64::SHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4279 /* shadd */, AArch64::SHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4285 /* shl */, AArch64::SHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4285 /* shl */, AArch64::SHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 4285 /* shl */, AArch64::SHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
{ 4285 /* shl */, AArch64::SHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 4285 /* shl */, AArch64::SHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 4285 /* shl */, AArch64::SHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 4285 /* shl */, AArch64::SHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 4285 /* shl */, AArch64::SHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 4289 /* shll */, AArch64::SHLLv2i32, Convert__VectorReg1281_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK__HASH_32 }, },
{ 4289 /* shll */, AArch64::SHLLv4i16, Convert__VectorReg1281_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK__HASH_16 }, },
{ 4289 /* shll */, AArch64::SHLLv8i8, Convert__VectorReg1281_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK__HASH_8 }, },
{ 4294 /* shll2 */, AArch64::SHLLv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_32 }, },
{ 4294 /* shll2 */, AArch64::SHLLv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_16 }, },
{ 4294 /* shll2 */, AArch64::SHLLv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__HASH_8 }, },
{ 4300 /* shrn */, AArch64::SHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4300 /* shrn */, AArch64::SHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4300 /* shrn */, AArch64::SHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4305 /* shrn2 */, AArch64::SHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4311 /* shrnb */, AArch64::SHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4317 /* shrnt */, AArch64::SHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4323 /* shsub */, AArch64::SHSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4323 /* shsub */, AArch64::SHSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4323 /* shsub */, AArch64::SHSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4323 /* shsub */, AArch64::SHSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4323 /* shsub */, AArch64::SHSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4323 /* shsub */, AArch64::SHSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4323 /* shsub */, AArch64::SHSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4329 /* shsubr */, AArch64::SHSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4336 /* sli */, AArch64::SLId, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm0_631_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLI_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4336 /* sli */, AArch64::SLIv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 4336 /* sli */, AArch64::SLIv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_631_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
{ 4336 /* sli */, AArch64::SLIv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLIv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 4336 /* sli */, AArch64::SLIv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 4336 /* sli */, AArch64::SLIv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 4336 /* sli */, AArch64::SLIv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 4340 /* sm3partw1 */, AArch64::SM3PARTW1, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4350 /* sm3partw2 */, AArch64::SM3PARTW2, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4360 /* sm3ss1 */, AArch64::SM3SS1, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorReg1281_4, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4367 /* sm3tt1a */, AArch64::SM3TT1A, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4375 /* sm3tt1b */, AArch64::SM3TT1B, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4383 /* sm3tt2a */, AArch64::SM3TT2A, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4391 /* sm3tt2b */, AArch64::SM3TT2B, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4399 /* sm4e */, AArch64::SM4E, Convert__VectorReg1281_1__VectorReg1281_2__Tie0_1_1, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4399 /* sm4e */, AArch64::SM4E_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2, AMFBS_HasSVE2SM4, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4404 /* sm4ekey */, AArch64::SM4EKEY_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2SM4, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4404 /* sm4ekey */, AArch64::SM4ENCKEY, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasSM4, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4412 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAX_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 4419 /* smax */, AArch64::SMAXv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4419 /* smax */, AArch64::SMAXv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4419 /* smax */, AArch64::SMAXv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4419 /* smax */, AArch64::SMAXv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4419 /* smax */, AArch64::SMAXv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4419 /* smax */, AArch64::SMAXv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4419 /* smax */, AArch64::SMAX_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4424 /* smaxp */, AArch64::SMAXPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4424 /* smaxp */, AArch64::SMAXPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4424 /* smaxp */, AArch64::SMAXPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4424 /* smaxp */, AArch64::SMAXPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4424 /* smaxp */, AArch64::SMAXPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4424 /* smaxp */, AArch64::SMAXPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4424 /* smaxp */, AArch64::SMAXP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4430 /* smaxv */, AArch64::SMAXVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
{ 4430 /* smaxv */, AArch64::SMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 4430 /* smaxv */, AArch64::SMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 4430 /* smaxv */, AArch64::SMAXVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
{ 4430 /* smaxv */, AArch64::SMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 4430 /* smaxv */, AArch64::SMAXV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4436 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMIN_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SImm81_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SImm8 }, },
{ 4440 /* smin */, AArch64::SMINv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4440 /* smin */, AArch64::SMINv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4440 /* smin */, AArch64::SMINv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4440 /* smin */, AArch64::SMINv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4440 /* smin */, AArch64::SMINv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4440 /* smin */, AArch64::SMINv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4440 /* smin */, AArch64::SMIN_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4445 /* sminp */, AArch64::SMINPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4445 /* sminp */, AArch64::SMINPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4445 /* sminp */, AArch64::SMINPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4445 /* sminp */, AArch64::SMINPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4445 /* sminp */, AArch64::SMINPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4445 /* sminp */, AArch64::SMINPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4445 /* sminp */, AArch64::SMINP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4451 /* sminv */, AArch64::SMINVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
{ 4451 /* sminv */, AArch64::SMINVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 4451 /* sminv */, AArch64::SMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 4451 /* sminv */, AArch64::SMINVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
{ 4451 /* sminv */, AArch64::SMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 4451 /* sminv */, AArch64::SMINV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 4457 /* smlal */, AArch64::SMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4457 /* smlal */, AArch64::SMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4457 /* smlal */, AArch64::SMLALv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4457 /* smlal */, AArch64::SMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4457 /* smlal */, AArch64::SMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4463 /* smlal2 */, AArch64::SMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4470 /* smlalb */, AArch64::SMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4477 /* smlalt */, AArch64::SMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4484 /* smlsl */, AArch64::SMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4490 /* smlsl2 */, AArch64::SMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4497 /* smlslb */, AArch64::SMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4504 /* smlslt */, AArch64::SMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4511 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 4518 /* smov */, AArch64::SMOVvi8to32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_151_3, AMFBS_HasNEON, { MCK__DOT_b, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 4518 /* smov */, AArch64::SMOVvi8to64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_151_3, AMFBS_HasNEON, { MCK__DOT_b, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 4518 /* smov */, AArch64::SMOVvi16to32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON, { MCK__DOT_h, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 4518 /* smov */, AArch64::SMOVvi16to64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON, { MCK__DOT_h, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 4518 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4523 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 4530 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4530 /* smulh */, AArch64::SMULH_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4536 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 4536 /* smull */, AArch64::SMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4536 /* smull */, AArch64::SMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4536 /* smull */, AArch64::SMULLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4536 /* smull */, AArch64::SMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4536 /* smull */, AArch64::SMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4542 /* smull2 */, AArch64::SMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4542 /* smull2 */, AArch64::SMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4542 /* smull2 */, AArch64::SMULLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4542 /* smull2 */, AArch64::SMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4542 /* smull2 */, AArch64::SMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4549 /* smullb */, AArch64::SMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4556 /* smullt */, AArch64::SMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList216 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList232 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList2641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList264 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorList281_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorList28 }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorHReg1_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorSReg1_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorDReg1_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4563 /* splice */, AArch64::SPLICE_ZPZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_3__SVEVectorBReg1_3, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4570 /* sqabs */, AArch64::SQABSv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 4570 /* sqabs */, AArch64::SQABSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4570 /* sqabs */, AArch64::SQABSv2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4570 /* sqabs */, AArch64::SQABSv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4570 /* sqabs */, AArch64::SQABSv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4570 /* sqabs */, AArch64::SQABSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4570 /* sqabs */, AArch64::SQABSv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4570 /* sqabs */, AArch64::SQABSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4570 /* sqabs */, AArch64::SQABS_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4576 /* sqadd */, AArch64::SQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4576 /* sqadd */, AArch64::SQADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4576 /* sqadd */, AArch64::SQADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4576 /* sqadd */, AArch64::SQADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4576 /* sqadd */, AArch64::SQADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4576 /* sqadd */, AArch64::SQADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4576 /* sqadd */, AArch64::SQADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4576 /* sqadd */, AArch64::SQADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4576 /* sqadd */, AArch64::SQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationOdd }, },
{ 4582 /* sqcadd */, AArch64::SQCADD_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__ComplexRotationOdd1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationOdd }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4589 /* sqdecb */, AArch64::SQDECB_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4596 /* sqdecd */, AArch64::SQDECD_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4603 /* sqdech */, AArch64::SQDECH_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg, MCK_GPR64as32 }, },
{ 4610 /* sqdecp */, AArch64::SQDECP_XPWd_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg, MCK_GPR64as32 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4617 /* sqdecw */, AArch64::SQDECW_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALi16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALi32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4624 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4632 /* sqdmlal2 */, AArch64::SQDMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4641 /* sqdmlalb */, AArch64::SQDMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4650 /* sqdmlalbt */, AArch64::SQDMLALBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4660 /* sqdmlalt */, AArch64::SQDMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLi16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLi32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4669 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__FPRAsmOperandFPR641_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4677 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4686 /* sqdmlslb */, AArch64::SQDMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4695 /* sqdmlslbt */, AArch64::SQDMLSLBT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4705 /* sqdmlslt */, AArch64::SQDMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULH_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i16_indexed, Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4714 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLi16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLi32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4722 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__FPRAsmOperandFPR641_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4730 /* sqdmull2 */, AArch64::SQDMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4739 /* sqdmullb */, AArch64::SQDMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4748 /* sqdmullt */, AArch64::SQDMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4757 /* sqincb */, AArch64::SQINCB_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4764 /* sqincd */, AArch64::SQINCD_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4771 /* sqinch */, AArch64::SQINCH_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg, MCK_GPR64as32 }, },
{ 4778 /* sqincp */, AArch64::SQINCP_XPWd_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg, MCK_GPR64as32 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie255_1_2__GPR64as321_1__SVEPattern1_2__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4785 /* sqincw */, AArch64::SQINCW_XPiWdI, Convert__Reg1_0__Tie0_1_2__SVEPattern1_2__Imm1_161_4, AMFBS_HasSVE, { MCK_GPR64, MCK_GPR64as32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv16i8, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv8i8, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4792 /* sqneg */, AArch64::SQNEGv8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 4792 /* sqneg */, AArch64::SQNEG_ZPmZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2__ComplexRotationEven1_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_31_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_3, MCK_ComplexRotationEven }, },
{ 4798 /* sqrdcmlah */, AArch64::SQRDCMLAH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_11_3__ComplexRotationEven1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_1, MCK_ComplexRotationEven }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAH_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHi16_indexed, Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4808 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1__Reg1_2, AMFBS_HasRDM, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON_HasRDM, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSH_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16_indexed, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi16_indexed, Convert__FPRAsmOperandFPR161_1__Tie0_2_2__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4817 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__FPRAsmOperandFPR321_1__Tie0_2_2__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON_HasRDM, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVector3bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVector3bSReg, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULH_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVector4bDReg1_2__IndexRange0_11_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVector4bDReg, MCK_IndexRange0_1 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i16_indexed, Convert__FPRAsmOperandFPR161_1__FPRAsmOperandFPR161_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_FPRAsmOperandFPR16, MCK_FPRAsmOperandFPR16, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 4826 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__FPRAsmOperandFPR321_1__FPRAsmOperandFPR321_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4835 /* sqrshl */, AArch64::SQRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4842 /* sqrshlr */, AArch64::SQRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4850 /* sqrshrn */, AArch64::SQRSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4858 /* sqrshrn2 */, AArch64::SQRSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4867 /* sqrshrnb */, AArch64::SQRSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4876 /* sqrshrnt */, AArch64::SQRSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4885 /* sqrshrun */, AArch64::SQRSHRUNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4894 /* sqrshrun2 */, AArch64::SQRSHRUNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4904 /* sqrshrunb */, AArch64::SQRSHRUNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4914 /* sqrshrunt */, AArch64::SQRSHRUNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 4924 /* sqshl */, AArch64::SQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 4924 /* sqshl */, AArch64::SQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 4924 /* sqshl */, AArch64::SQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 4924 /* sqshl */, AArch64::SQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4924 /* sqshl */, AArch64::SQSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 4930 /* sqshlr */, AArch64::SQSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLUv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 4937 /* sqshlu */, AArch64::SQSHLU_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4944 /* sqshrn */, AArch64::SQSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4951 /* sqshrn2 */, AArch64::SQSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4959 /* sqshrnb */, AArch64::SQSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4967 /* sqshrnt */, AArch64::SQSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4975 /* sqshrun */, AArch64::SQSHRUNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 4983 /* sqshrun2 */, AArch64::SQSHRUNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 4992 /* sqshrunb */, AArch64::SQSHRUNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 5001 /* sqshrunt */, AArch64::SQSHRUNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5010 /* sqsub */, AArch64::SQSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5010 /* sqsub */, AArch64::SQSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5010 /* sqsub */, AArch64::SQSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5016 /* sqsubr */, AArch64::SQSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5023 /* sqxtn */, AArch64::SQXTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5029 /* sqxtn2 */, AArch64::SQXTNv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5036 /* sqxtnb */, AArch64::SQXTNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5043 /* sqxtnt */, AArch64::SQXTNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv2i32, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv4i16, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5050 /* sqxtun */, AArch64::SQXTUNv8i8, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5057 /* sqxtun2 */, AArch64::SQXTUNv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5065 /* sqxtunb */, AArch64::SQXTUNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 5073 /* sqxtunt */, AArch64::SQXTUNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 5081 /* srhadd */, AArch64::SRHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5081 /* srhadd */, AArch64::SRHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5081 /* srhadd */, AArch64::SRHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5081 /* srhadd */, AArch64::SRHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5081 /* srhadd */, AArch64::SRHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5081 /* srhadd */, AArch64::SRHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5081 /* srhadd */, AArch64::SRHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5088 /* sri */, AArch64::SRId, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRI_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5088 /* sri */, AArch64::SRIv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 5088 /* sri */, AArch64::SRIv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 5088 /* sri */, AArch64::SRIv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRIv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 5088 /* sri */, AArch64::SRIv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 5088 /* sri */, AArch64::SRIv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 5088 /* sri */, AArch64::SRIv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 5092 /* srshl */, AArch64::SRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5092 /* srshl */, AArch64::SRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5092 /* srshl */, AArch64::SRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5092 /* srshl */, AArch64::SRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5092 /* srshl */, AArch64::SRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5092 /* srshl */, AArch64::SRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5092 /* srshl */, AArch64::SRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5092 /* srshl */, AArch64::SRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5092 /* srshl */, AArch64::SRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5098 /* srshlr */, AArch64::SRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5105 /* srshr */, AArch64::SRSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 5105 /* srshr */, AArch64::SRSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 5105 /* srshr */, AArch64::SRSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5105 /* srshr */, AArch64::SRSHR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 5111 /* srsra */, AArch64::SRSRAv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRAv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 5111 /* srsra */, AArch64::SRSRAv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 5111 /* srsra */, AArch64::SRSRAv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 5111 /* srsra */, AArch64::SRSRAv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 5117 /* ssbb */, AArch64::DSB, Convert__imm_95_0, AMFBS_None, { }, },
{ 5122 /* sshl */, AArch64::SSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5122 /* sshl */, AArch64::SSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5122 /* sshl */, AArch64::SSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5122 /* sshl */, AArch64::SSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5122 /* sshl */, AArch64::SSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5122 /* sshl */, AArch64::SSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5122 /* sshl */, AArch64::SSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5122 /* sshl */, AArch64::SSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5127 /* sshll */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 5127 /* sshll */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 5127 /* sshll */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 5133 /* sshll2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 5140 /* sshllb */, AArch64::SSHLLB_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 5147 /* sshllt */, AArch64::SSHLLT_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 5154 /* sshr */, AArch64::SSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5154 /* sshr */, AArch64::SSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 5154 /* sshr */, AArch64::SSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 5154 /* sshr */, AArch64::SSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 5154 /* sshr */, AArch64::SSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 5154 /* sshr */, AArch64::SSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 5154 /* sshr */, AArch64::SSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 5154 /* sshr */, AArch64::SSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 5159 /* ssra */, AArch64::SSRAv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRAv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 5159 /* ssra */, AArch64::SSRAv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 5159 /* ssra */, AArch64::SSRAv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 5159 /* ssra */, AArch64::SSRAv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 5164 /* ssubl */, AArch64::SSUBLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5164 /* ssubl */, AArch64::SSUBLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5164 /* ssubl */, AArch64::SSUBLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5170 /* ssubl2 */, AArch64::SSUBLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5177 /* ssublb */, AArch64::SSUBLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5184 /* ssublbt */, AArch64::SSUBLBT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5192 /* ssublt */, AArch64::SSUBLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5199 /* ssubltb */, AArch64::SSUBLTB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5207 /* ssubw */, AArch64::SSUBWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 5207 /* ssubw */, AArch64::SSUBWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 5207 /* ssubw */, AArch64::SSUBWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5213 /* ssubw2 */, AArch64::SSUBWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5220 /* ssubwb */, AArch64::SSUBWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5227 /* ssubwt */, AArch64::SSUBWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d, Convert__TypedVectorList4_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b, Convert__TypedVectorList1_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d, Convert__TypedVectorList1_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d, Convert__TypedVectorList1_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s, Convert__TypedVectorList1_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h, Convert__TypedVectorList1_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s, Convert__TypedVectorList1_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b, Convert__TypedVectorList1_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h, Convert__TypedVectorList1_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d, Convert__TypedVectorList3_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d, Convert__TypedVectorList2_1641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b, Convert__VecListOne641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h, Convert__VecListOne1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList1_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8, Convert__TypedVectorList1_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i64, Convert__TypedVectorList1_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i16, Convert__TypedVectorList1_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i32, Convert__TypedVectorList1_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_164, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5234 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5234 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5234 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5234 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8, Convert__VecListOne1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i64, Convert__VecListOne1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i16, Convert__VecListOne1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList1_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_1 }, },
{ 5234 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5234 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5234 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5234 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW328Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW648Only1_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648Only, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::SST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Imm0_311_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_Imm0_31, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_IMM, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5238 /* st1b */, AArch64::ST1B_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6464, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::SST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s81_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s8, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5243 /* st1d */, AArch64::ST1D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32161_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3216, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64161_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6416, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::SST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s21_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s2, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_IMM, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_S_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5248 /* st1h */, AArch64::ST1H_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW_SCALED, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SCALED_SCALED_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW_SCALED, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_SXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW_SCALED, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW32321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW3232, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_UXTW, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW3281_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW328, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SCALED_SCALED_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendLSL6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendLSL648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_SXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendSXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendSXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW_SCALED, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW64321_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW6432, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_UXTW, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__ZPRExtendUXTW6481_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_ZPRExtendUXTW648, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::SST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__UImm5s41_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_UImm5s4, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_IMM, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5253 /* st1w */, AArch64::ST1W_D_IMM, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b, Convert__TypedVectorList2_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d, Convert__TypedVectorList2_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s, Convert__TypedVectorList2_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h, Convert__TypedVectorList2_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s, Convert__TypedVectorList2_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b, Convert__TypedVectorList2_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h, Convert__TypedVectorList2_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b, Convert__VecListTwo641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h, Convert__VecListTwo1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList2_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8, Convert__TypedVectorList2_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i64, Convert__TypedVectorList2_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i16, Convert__TypedVectorList2_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i32, Convert__TypedVectorList2_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5258 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8, Convert__VecListTwo1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i64, Convert__VecListTwo1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i16, Convert__VecListTwo1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList2_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_2 }, },
{ 5258 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5258 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5258 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5258 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5262 /* st2b */, AArch64::ST2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5262 /* st2b */, AArch64::ST2B, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5262 /* st2b */, AArch64::ST2B_IMM, Convert__SVEVectorList281_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList28, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5267 /* st2d */, AArch64::ST2D_IMM, Convert__SVEVectorList2641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList264, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5272 /* st2g */, AArch64::ST2GOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5272 /* st2g */, AArch64::ST2GPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5277 /* st2h */, AArch64::ST2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5277 /* st2h */, AArch64::ST2H, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5277 /* st2h */, AArch64::ST2H_IMM, Convert__SVEVectorList2161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList216, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5282 /* st2w */, AArch64::ST2W_IMM, Convert__SVEVectorList2321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s21_4, AMFBS_HasSVE, { MCK_SVEVectorList232, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s2, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b, Convert__TypedVectorList3_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d, Convert__TypedVectorList3_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s, Convert__TypedVectorList3_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h, Convert__TypedVectorList3_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s, Convert__TypedVectorList3_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b, Convert__TypedVectorList3_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h, Convert__TypedVectorList3_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b, Convert__VecListThree641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h, Convert__VecListThree1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList3_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8, Convert__TypedVectorList3_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i64, Convert__TypedVectorList3_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i16, Convert__TypedVectorList3_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i32, Convert__TypedVectorList3_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_48 }, },
{ 5287 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8, Convert__VecListThree1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i64, Convert__VecListThree1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i16, Convert__VecListThree1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList3_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_3 }, },
{ 5287 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_24 }, },
{ 5287 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_6 }, },
{ 5287 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_12 }, },
{ 5287 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5291 /* st3b */, AArch64::ST3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5291 /* st3b */, AArch64::ST3B, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5291 /* st3b */, AArch64::ST3B_IMM, Convert__SVEVectorList381_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList38, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5296 /* st3d */, AArch64::ST3D_IMM, Convert__SVEVectorList3641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList364, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5301 /* st3h */, AArch64::ST3H_IMM, Convert__SVEVectorList3161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList316, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5306 /* st3w */, AArch64::ST3W_IMM, Convert__SVEVectorList3321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s31_4, AMFBS_HasSVE, { MCK_SVEVectorList332, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s3, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b, Convert__TypedVectorList4_1681_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d, Convert__TypedVectorList4_2641_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s, Convert__TypedVectorList4_2321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h, Convert__TypedVectorList4_4161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s, Convert__TypedVectorList4_4321_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b, Convert__TypedVectorList4_881_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h, Convert__TypedVectorList4_8161_0__Reg1_2, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b, Convert__VecListFour641_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h, Convert__VecListFour1281_1__Reg1_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_1681_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_168, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2641_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_264, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_232, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_416, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4321_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_432, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_881_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_88, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8161_0__Tie0_3_3__Reg1_4, AMFBS_HasNEON, { MCK_TypedVectorList4_816, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8, Convert__TypedVectorList4_081_0__IndexRange0_151_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i64, Convert__TypedVectorList4_0641_0__IndexRange0_11_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i16, Convert__TypedVectorList4_0161_0__IndexRange0_71_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i32, Convert__TypedVectorList4_0321_0__IndexRange0_31_1__Reg1_3, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_64 }, },
{ 5311 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8, Convert__VecListFour1281_1__IndexRange0_151_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i64, Convert__VecListFour1281_1__IndexRange0_11_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i16, Convert__VecListFour1281_1__IndexRange0_71_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__IndexRange0_31_2__Reg1_4, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_081_0__IndexRange0_151_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_08, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0641_0__IndexRange0_11_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_064, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0161_0__IndexRange0_71_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_016, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__regXZR, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0321_0__IndexRange0_31_1__Tie0_4_4__Reg1_5, AMFBS_HasNEON, { MCK_TypedVectorList4_032, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_4 }, },
{ 5311 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_151_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_IndexRange0_15, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_32 }, },
{ 5311 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_11_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_IndexRange0_1, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_8 }, },
{ 5311 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_71_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_IndexRange0_7, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__regXZR, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__HASH_16 }, },
{ 5311 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__IndexRange0_31_2__Tie0_5_5__Reg1_6, AMFBS_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_IndexRange0_3, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
{ 5315 /* st4b */, AArch64::ST4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5315 /* st4b */, AArch64::ST4B, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5315 /* st4b */, AArch64::ST4B_IMM, Convert__SVEVectorList481_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList48, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5320 /* st4d */, AArch64::ST4D_IMM, Convert__SVEVectorList4641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList464, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5325 /* st4h */, AArch64::ST4H_IMM, Convert__SVEVectorList4161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList416, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5330 /* st4w */, AArch64::ST4W_IMM, Convert__SVEVectorList4321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s41_4, AMFBS_HasSVE, { MCK_SVEVectorList432, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s4, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5335 /* stadd */, AArch64::LDADDW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5335 /* stadd */, AArch64::LDADDX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5341 /* staddb */, AArch64::LDADDB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5348 /* staddh */, AArch64::LDADDH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5355 /* staddl */, AArch64::LDADDLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5355 /* staddl */, AArch64::LDADDLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5362 /* staddlb */, AArch64::LDADDLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5370 /* staddlh */, AArch64::LDADDLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5378 /* stclr */, AArch64::LDCLRW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5378 /* stclr */, AArch64::LDCLRX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5384 /* stclrb */, AArch64::LDCLRB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5391 /* stclrh */, AArch64::LDCLRH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5398 /* stclrl */, AArch64::LDCLRLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5398 /* stclrl */, AArch64::LDCLRLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5405 /* stclrlb */, AArch64::LDCLRLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5413 /* stclrlh */, AArch64::LDCLRLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5421 /* steor */, AArch64::LDEORW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5421 /* steor */, AArch64::LDEORX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5427 /* steorb */, AArch64::LDEORB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5434 /* steorh */, AArch64::LDEORH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5441 /* steorl */, AArch64::LDEORLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5441 /* steorl */, AArch64::LDEORLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5448 /* steorlb */, AArch64::LDEORLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5456 /* steorlh */, AArch64::LDEORLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5464 /* stg */, AArch64::STGOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5464 /* stg */, AArch64::STGPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5468 /* stgm */, AArch64::STGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_5, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 5473 /* stgp */, AArch64::STGPi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5473 /* stgp */, AArch64::STGPpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s161_4, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5478 /* stllr */, AArch64::STLLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5478 /* stllr */, AArch64::STLLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5484 /* stllrb */, AArch64::STLLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5491 /* stllrh */, AArch64::STLLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_HasLOR, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5498 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5498 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5503 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5509 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5515 /* stlur */, AArch64::STLURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5521 /* stlurb */, AArch64::STLURBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5521 /* stlurb */, AArch64::STLURBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5528 /* stlurh */, AArch64::STLURHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5528 /* stlurh */, AArch64::STLURHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_HasRCPC_IMMO, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5535 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5535 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5541 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5541 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5547 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5554 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5561 /* stnp */, AArch64::STNPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRR, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRR, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted81_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted8, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5566 /* stnt1b */, AArch64::STNT1B_ZRI, Convert__SVEVectorList181_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList18, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRR, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRR, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted641_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5573 /* stnt1d */, AArch64::STNT1D_ZRI, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRR, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRR, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted161_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted16, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5580 /* stnt1h */, AArch64::STNT1H_ZRI, Convert__SVEVectorList1161_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList116, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__regXZR, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRR, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRR, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__GPR64NoXZRshifted321_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_GPR64NoXZRshifted32, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_S_REAL, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorSReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZZR_D_REAL, Convert__SVEVectorList1641_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_3__Reg1_4, AMFBS_HasSVE2, { MCK_SVEVectorList164, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_SVEVectorDReg, MCK_GPR64, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5587 /* stnt1w */, AArch64::STNT1W_ZRI, Convert__SVEVectorList1321_0__SVEPredicate3bAnyReg1_1__Reg1_3__SImm4s11_4, AMFBS_HasSVE, { MCK_SVEVectorList132, MCK_SVEPredicate3bAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm4s1, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 5594 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 5594 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPSpost, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_5, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
{ 5594 /* stp */, AArch64::STPSi, Convert__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Reg1_3__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPDpost, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_5, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
{ 5594 /* stp */, AArch64::STPDi, Convert__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Reg1_3__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPQpost, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_5, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
{ 5594 /* stp */, AArch64::STPQi, Convert__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Reg1_3__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
{ 5594 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPSpre, Convert__Reg1_3__FPRAsmOperandFPR321_0__FPRAsmOperandFPR321_1__Tie0_4_4__SImm7s41_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPDpre, Convert__Reg1_3__FPRAsmOperandFPR641_0__FPRAsmOperandFPR641_1__Tie0_4_4__SImm7s81_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
{ 5594 /* stp */, AArch64::STPQpre, Convert__Reg1_3__FPRAsmOperandFPR1281_0__FPRAsmOperandFPR1281_1__Tie0_4_4__SImm7s161_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__imm_95_0, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBpost, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBui, Convert__FPRAsmOperandFPR81_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHpost, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHui, Convert__FPRAsmOperandFPR161_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSpost, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm9OffsetFB321_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSui, Convert__FPRAsmOperandFPR321_0__Reg1_2__UImm12Offset41_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDpost, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm9OffsetFB641_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDui, Convert__FPRAsmOperandFPR641_0__Reg1_2__UImm12Offset81_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQpost, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm9OffsetFB1281_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQui, Convert__FPRAsmOperandFPR1281_0__Reg1_2__UImm12Offset161_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRBroW, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBroX, Convert__FPRAsmOperandFPR81_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRBpre, Convert__Reg1_2__FPRAsmOperandFPR81_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRHroW, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHroX, Convert__FPRAsmOperandFPR161_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRHpre, Convert__Reg1_2__FPRAsmOperandFPR161_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRSroW, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemWExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSroX, Convert__FPRAsmOperandFPR321_0__Reg1_2__Reg1_3__MemXExtend322_4, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRSpre, Convert__Reg1_2__FPRAsmOperandFPR321_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRDroW, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemWExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDroX, Convert__FPRAsmOperandFPR641_0__Reg1_2__Reg1_3__MemXExtend642_4, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRDpre, Convert__Reg1_2__FPRAsmOperandFPR641_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STRQroW, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemWExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQroX, Convert__FPRAsmOperandFPR1281_0__Reg1_2__Reg1_3__MemXExtend1282_4, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
{ 5598 /* str */, AArch64::STRQpre, Convert__Reg1_2__FPRAsmOperandFPR1281_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5598 /* str */, AArch64::STR_PXI, Convert__SVEPredicateAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEPredicateAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5598 /* str */, AArch64::STR_ZXI, Convert__SVEVectorAnyReg1_0__Reg1_2__SImm91_3, AMFBS_HasSVE, { MCK_SVEVectorAnyReg, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK_mul, MCK_vl, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
{ 5602 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
{ 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
{ 5607 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
{ 5612 /* stset */, AArch64::LDSETW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5612 /* stset */, AArch64::LDSETX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5618 /* stsetb */, AArch64::LDSETB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5625 /* stseth */, AArch64::LDSETH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5632 /* stsetl */, AArch64::LDSETLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5632 /* stsetl */, AArch64::LDSETLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5639 /* stsetlb */, AArch64::LDSETLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5647 /* stsetlh */, AArch64::LDSETLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5655 /* stsmax */, AArch64::LDSMAXW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5655 /* stsmax */, AArch64::LDSMAXX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5662 /* stsmaxb */, AArch64::LDSMAXB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5670 /* stsmaxh */, AArch64::LDSMAXH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5678 /* stsmaxl */, AArch64::LDSMAXLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5678 /* stsmaxl */, AArch64::LDSMAXLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5686 /* stsmaxlb */, AArch64::LDSMAXLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5695 /* stsmaxlh */, AArch64::LDSMAXLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5704 /* stsmin */, AArch64::LDSMINW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5704 /* stsmin */, AArch64::LDSMINX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5711 /* stsminb */, AArch64::LDSMINB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5719 /* stsminh */, AArch64::LDSMINH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5727 /* stsminl */, AArch64::LDSMINLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5727 /* stsminl */, AArch64::LDSMINLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5735 /* stsminlb */, AArch64::LDSMINLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5744 /* stsminlh */, AArch64::LDSMINLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5753 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5758 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5764 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5770 /* stumax */, AArch64::LDUMAXW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5770 /* stumax */, AArch64::LDUMAXX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5777 /* stumaxb */, AArch64::LDUMAXB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5785 /* stumaxh */, AArch64::LDUMAXH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5793 /* stumaxl */, AArch64::LDUMAXLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5793 /* stumaxl */, AArch64::LDUMAXLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5801 /* stumaxlb */, AArch64::LDUMAXLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5810 /* stumaxlh */, AArch64::LDUMAXLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5819 /* stumin */, AArch64::LDUMINW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5819 /* stumin */, AArch64::LDUMINX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5826 /* stuminb */, AArch64::LDUMINB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5834 /* stuminh */, AArch64::LDUMINH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5842 /* stuminl */, AArch64::LDUMINLW, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5842 /* stuminl */, AArch64::LDUMINLX, Convert__regXZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5850 /* stuminlb */, AArch64::LDUMINLB, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5859 /* stuminlh */, AArch64::LDUMINLH, Convert__regWZR__Reg1_0__Reg1_2, AMFBS_HasLSE, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURBi, Convert__FPRAsmOperandFPR81_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURHi, Convert__FPRAsmOperandFPR161_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURSi, Convert__FPRAsmOperandFPR321_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURDi, Convert__FPRAsmOperandFPR641_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5868 /* stur */, AArch64::STURQi, Convert__FPRAsmOperandFPR1281_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_FPRAsmOperandFPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5873 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5879 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, AMFBS_None, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
{ 5885 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5885 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5890 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5890 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5895 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5901 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5907 /* stz2g */, AArch64::STZ2GOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5907 /* stz2g */, AArch64::STZ2GPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5913 /* stzg */, AArch64::STZGOffset, Convert__Reg1_0__Reg1_2__imm_95_0, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5913 /* stzg */, AArch64::STZGPostIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_4, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9s16 }, },
{ 5913 /* stzg */, AArch64::STZGOffset, Convert__Reg1_0__Reg1_2__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_ }, },
{ 5913 /* stzg */, AArch64::STZGPreIndex, Convert__Reg1_2__Reg1_0__Tie0_3_3__SImm9s161_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK__91_, MCK_GPR64sp, MCK_SImm9s16, MCK__93_, MCK__EXCLAIM_ }, },
{ 5918 /* stzgm */, AArch64::STZGM, Convert__Reg1_0__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::SUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
{ 5924 /* sub */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 5924 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
{ 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
{ 5924 /* sub */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 5924 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
{ 5924 /* sub */, AArch64::SUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5924 /* sub */, AArch64::SUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5924 /* sub */, AArch64::SUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5924 /* sub */, AArch64::SUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5924 /* sub */, AArch64::SUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5924 /* sub */, AArch64::SUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5924 /* sub */, AArch64::SUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5924 /* sub */, AArch64::SUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5924 /* sub */, AArch64::SUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5924 /* sub */, AArch64::SUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5924 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 5924 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 5924 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 5924 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
{ 5924 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5924 /* sub */, AArch64::SUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5928 /* subg */, AArch64::SUBG, Convert__Reg1_0__Reg1_1__UImm6s161_2__Imm0_151_3, AMFBS_HasMTE, { MCK_GPR64sp, MCK_GPR64sp, MCK_UImm6s16, MCK_Imm0_15 }, },
{ 5933 /* subhn */, AArch64::SUBHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5933 /* subhn */, AArch64::SUBHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5933 /* subhn */, AArch64::SUBHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5939 /* subhn2 */, AArch64::SUBHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5946 /* subhnb */, AArch64::SUBHNB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5953 /* subhnt */, AArch64::SUBHNT_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5960 /* subp */, AArch64::SUBP, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64sp }, },
{ 5965 /* subps */, AArch64::SUBPS, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasMTE, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64sp }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 5971 /* subr */, AArch64::SUBR_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5971 /* subr */, AArch64::SUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, AMFBS_None, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
{ 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 5976 /* subs */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
{ 5976 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
{ 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, AMFBS_None, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
{ 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 5976 /* subs */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, AMFBS_UseNegativeImmediates, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
{ 5976 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
{ 5976 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
{ 5976 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
{ 5976 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
{ 5976 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
{ 5976 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, AMFBS_None, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5981 /* sunpkhi */, AArch64::SUNPKHI_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 5989 /* sunpklo */, AArch64::SUNPKLO_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i64, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv1i8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 5997 /* suqadd */, AArch64::SUQADDv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 5997 /* suqadd */, AArch64::SUQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6004 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 6008 /* swp */, AArch64::SWPW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6008 /* swp */, AArch64::SWPX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6012 /* swpa */, AArch64::SWPAW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6012 /* swpa */, AArch64::SWPAX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6017 /* swpab */, AArch64::SWPAB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6023 /* swpah */, AArch64::SWPAH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6029 /* swpal */, AArch64::SWPALW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6029 /* swpal */, AArch64::SWPALX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6035 /* swpalb */, AArch64::SWPALB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6042 /* swpalh */, AArch64::SWPALH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6049 /* swpb */, AArch64::SWPB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6054 /* swph */, AArch64::SWPH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6059 /* swpl */, AArch64::SWPLW, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6059 /* swpl */, AArch64::SWPLX, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6064 /* swplb */, AArch64::SWPLB, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6070 /* swplh */, AArch64::SWPLH, Convert__Reg1_1__Reg1_0__Reg1_3, AMFBS_HasLSE, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
{ 6076 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6076 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6076 /* sxtb */, AArch64::SXTB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6081 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6081 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6081 /* sxth */, AArch64::SXTH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6081 /* sxth */, AArch64::SXTH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6086 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6086 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6086 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6091 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6097 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6097 /* sxtw */, AArch64::SXTW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
{ 6102 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, AMFBS_None, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
{ 6106 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, AMFBS_None, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorList1161_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorList116, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorList2161_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorList216, MCK_SVEVectorHReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorList1321_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorList132, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorList2321_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorList232, MCK_SVEVectorSReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorList1641_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorList164, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorList2641_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorList264, MCK_SVEVectorDReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorList181_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorList18, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBL_ZZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorList281_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorList28, MCK_SVEVectorBReg }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_0__TypedVectorList4_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_0__TypedVectorList1_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_0__TypedVectorList3_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_0__TypedVectorList2_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_0__TypedVectorList4_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_0__TypedVectorList1_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_0__TypedVectorList3_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6111 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_0__TypedVectorList2_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6115 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
{ 6115 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
{ 6115 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6120 /* tbx */, AArch64::TBX_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_1__Tie0_2_2__VecListFour1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_1__Tie0_2_2__VecListOne1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_1__Tie0_2_2__VecListThree1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_1__Tie0_2_2__VecListTwo1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_1__Tie0_2_2__VecListFour1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_1__Tie0_2_2__VecListOne1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_1__Tie0_2_2__VecListThree1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_1__Tie0_2_2__VecListTwo1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg1281_3, AMFBS_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_168, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList4_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList1_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList3_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6120 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_0__Tie0_1_1__TypedVectorList2_1681_2__VectorReg641_3, AMFBS_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_168, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6124 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
{ 6124 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, AMFBS_None, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
{ 6124 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, AMFBS_None, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
{ 6128 /* tcancel */, AArch64::TCANCEL, Convert__Imm0_655351_0, AMFBS_HasTME, { MCK_Imm0_65535 }, },
{ 6136 /* tcommit */, AArch64::TCOMMIT, Convert_NoOperands, AMFBS_HasTME, { }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6144 /* trn1 */, AArch64::TRN1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6144 /* trn1 */, AArch64::TRN1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6144 /* trn1 */, AArch64::TRN1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6144 /* trn1 */, AArch64::TRN1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6144 /* trn1 */, AArch64::TRN1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6144 /* trn1 */, AArch64::TRN1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6144 /* trn1 */, AArch64::TRN1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6144 /* trn1 */, AArch64::TRN1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6149 /* trn2 */, AArch64::TRN2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6149 /* trn2 */, AArch64::TRN2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6149 /* trn2 */, AArch64::TRN2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6149 /* trn2 */, AArch64::TRN2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6149 /* trn2 */, AArch64::TRN2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6149 /* trn2 */, AArch64::TRN2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6149 /* trn2 */, AArch64::TRN2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6149 /* trn2 */, AArch64::TRN2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6154 /* tsb */, AArch64::TSB, Convert__Barrier1_0, AMFBS_HasTRACEV8_4, { MCK_Barrier }, },
{ 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6158 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, AMFBS_None, { MCK_GPR32, MCK_LogicalImm32 }, },
{ 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6158 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, AMFBS_None, { MCK_GPR64, MCK_LogicalImm64 }, },
{ 6158 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
{ 6158 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
{ 6162 /* tstart */, AArch64::TSTART, Convert__Reg1_0, AMFBS_HasTME, { MCK_GPR64 }, },
{ 6169 /* ttest */, AArch64::TTEST, Convert__Reg1_0, AMFBS_HasTME, { MCK_GPR64 }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6175 /* uaba */, AArch64::UABA_ZZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6175 /* uaba */, AArch64::UABAv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6175 /* uaba */, AArch64::UABAv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6175 /* uaba */, AArch64::UABAv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6175 /* uaba */, AArch64::UABAv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6175 /* uaba */, AArch64::UABAv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6175 /* uaba */, AArch64::UABAv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6180 /* uabal */, AArch64::UABALv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6180 /* uabal */, AArch64::UABALv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6180 /* uabal */, AArch64::UABALv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6186 /* uabal2 */, AArch64::UABALv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6186 /* uabal2 */, AArch64::UABALv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6186 /* uabal2 */, AArch64::UABALv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6193 /* uabalb */, AArch64::UABALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6200 /* uabalt */, AArch64::UABALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6207 /* uabd */, AArch64::UABDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6207 /* uabd */, AArch64::UABDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6207 /* uabd */, AArch64::UABDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6207 /* uabd */, AArch64::UABDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6207 /* uabd */, AArch64::UABDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6207 /* uabd */, AArch64::UABDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6207 /* uabd */, AArch64::UABD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6212 /* uabdl */, AArch64::UABDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6212 /* uabdl */, AArch64::UABDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6212 /* uabdl */, AArch64::UABDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6218 /* uabdl2 */, AArch64::UABDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6225 /* uabdlb */, AArch64::UABDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6232 /* uabdlt */, AArch64::UABDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6239 /* uadalp */, AArch64::UADALPv2i32_v1i64, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6239 /* uadalp */, AArch64::UADALPv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6239 /* uadalp */, AArch64::UADALPv4i16_v2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6239 /* uadalp */, AArch64::UADALPv8i8_v4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6239 /* uadalp */, AArch64::UADALPv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6239 /* uadalp */, AArch64::UADALPv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorBReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorHReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6239 /* uadalp */, AArch64::UADALP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6246 /* uaddl */, AArch64::UADDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6246 /* uaddl */, AArch64::UADDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6246 /* uaddl */, AArch64::UADDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6252 /* uaddl2 */, AArch64::UADDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6259 /* uaddlb */, AArch64::UADDLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv2i32_v1i64, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv4i16_v2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv8i8_v4i16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6266 /* uaddlp */, AArch64::UADDLPv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6273 /* uaddlt */, AArch64::UADDLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR16, MCK_VectorReg128 }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR32, MCK_VectorReg64 }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR64, MCK_VectorReg128 }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR16, MCK_VectorReg64 }, },
{ 6280 /* uaddlv */, AArch64::UADDLVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR32, MCK_VectorReg128 }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6287 /* uaddv */, AArch64::UADDV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6293 /* uaddw */, AArch64::UADDWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6293 /* uaddw */, AArch64::UADDWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6293 /* uaddw */, AArch64::UADDWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6299 /* uaddw2 */, AArch64::UADDWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6306 /* uaddwb */, AArch64::UADDWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6313 /* uaddwt */, AArch64::UADDWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6320 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
{ 6320 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXHri, Convert__Reg1_0__Reg1_1, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXSri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv1i64, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUWDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFUXDri, Convert__Reg1_0__Reg1_1, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2f64, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2f32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4f16, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4f32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv8f16, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6325 /* ucvtf */, AArch64::UCVTFv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_HtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoH, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoS, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_StoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6325 /* ucvtf */, AArch64::UCVTF_ZPmZ_DtoD, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6331 /* udf */, AArch64::UDF, Convert__Imm0_655351_0, AMFBS_None, { MCK_Imm0_65535 }, },
{ 6335 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
{ 6335 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 6335 /* udiv */, AArch64::UDIV_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6335 /* udiv */, AArch64::UDIV_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6340 /* udivr */, AArch64::UDIVR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6340 /* udivr */, AArch64::UDIVR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6346 /* udot */, AArch64::UDOTv16i8, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasDotProd, { }, },
{ 6346 /* udot */, AArch64::UDOTv8i8, Convert__imm_95_0__Tie0_1_1__imm_95_0__imm_95_0, AMFBS_HasDotProd, { }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6346 /* udot */, AArch64::UDOTlanev16i8, Convert__VectorReg1281_0__Tie0_1_1__VectorReg1281_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasDotProd, { MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6346 /* udot */, AArch64::UDOTlanev8i8, Convert__VectorReg641_0__Tie0_1_1__VectorReg641_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasDotProd, { MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVector3bBReg1_2__IndexRange0_31_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorBReg, MCK_SVEVector3bBReg, MCK_IndexRange0_3 }, },
{ 6346 /* udot */, AArch64::UDOT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector4bHReg1_2__IndexRange0_11_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorHReg, MCK_SVEVector4bHReg, MCK_IndexRange0_1 }, },
{ 6351 /* uhadd */, AArch64::UHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6351 /* uhadd */, AArch64::UHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6351 /* uhadd */, AArch64::UHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6351 /* uhadd */, AArch64::UHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6351 /* uhadd */, AArch64::UHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6351 /* uhadd */, AArch64::UHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6351 /* uhadd */, AArch64::UHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6357 /* uhsub */, AArch64::UHSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6357 /* uhsub */, AArch64::UHSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6357 /* uhsub */, AArch64::UHSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6357 /* uhsub */, AArch64::UHSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6357 /* uhsub */, AArch64::UHSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6357 /* uhsub */, AArch64::UHSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6357 /* uhsub */, AArch64::UHSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6363 /* uhsubr */, AArch64::UHSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6370 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAX_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 6377 /* umax */, AArch64::UMAXv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6377 /* umax */, AArch64::UMAXv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6377 /* umax */, AArch64::UMAXv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6377 /* umax */, AArch64::UMAXv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6377 /* umax */, AArch64::UMAXv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6377 /* umax */, AArch64::UMAXv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6377 /* umax */, AArch64::UMAX_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6382 /* umaxp */, AArch64::UMAXPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6382 /* umaxp */, AArch64::UMAXPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6382 /* umaxp */, AArch64::UMAXPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6382 /* umaxp */, AArch64::UMAXPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6382 /* umaxp */, AArch64::UMAXPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6382 /* umaxp */, AArch64::UMAXPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6382 /* umaxp */, AArch64::UMAXP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6388 /* umaxv */, AArch64::UMAXVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
{ 6388 /* umaxv */, AArch64::UMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 6388 /* umaxv */, AArch64::UMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 6388 /* umaxv */, AArch64::UMAXVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
{ 6388 /* umaxv */, AArch64::UMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6388 /* umaxv */, AArch64::UMAXV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMIN_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__Imm0_2551_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm0_255 }, },
{ 6394 /* umin */, AArch64::UMINv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6394 /* umin */, AArch64::UMINv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6394 /* umin */, AArch64::UMINv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6394 /* umin */, AArch64::UMINv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6394 /* umin */, AArch64::UMINv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6394 /* umin */, AArch64::UMINv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6394 /* umin */, AArch64::UMIN_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6399 /* uminp */, AArch64::UMINPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6399 /* uminp */, AArch64::UMINPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6399 /* uminp */, AArch64::UMINPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6399 /* uminp */, AArch64::UMINPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6399 /* uminp */, AArch64::UMINPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6399 /* uminp */, AArch64::UMINPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6399 /* uminp */, AArch64::UMINP_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6405 /* uminv */, AArch64::UMINVv16i8v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
{ 6405 /* uminv */, AArch64::UMINVv4i16v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
{ 6405 /* uminv */, AArch64::UMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
{ 6405 /* uminv */, AArch64::UMINVv8i8v, Convert__Reg1_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
{ 6405 /* uminv */, AArch64::UMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_H, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_FPR16, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorHReg }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_S, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_FPR32, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorSReg }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_D, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_FPR64, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorDReg }, },
{ 6405 /* uminv */, AArch64::UMINV_VPZ_B, Convert__Reg1_0__SVEPredicate3bAnyReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_FPR8, MCK_SVEPredicate3bAnyReg, MCK_SVEVectorBReg }, },
{ 6411 /* umlal */, AArch64::UMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6411 /* umlal */, AArch64::UMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6411 /* umlal */, AArch64::UMLALv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6411 /* umlal */, AArch64::UMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6411 /* umlal */, AArch64::UMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6417 /* umlal2 */, AArch64::UMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6424 /* umlalb */, AArch64::UMLALB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6431 /* umlalt */, AArch64::UMLALT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv8i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6438 /* umlsl */, AArch64::UMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv16i8_v8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6444 /* umlsl2 */, AArch64::UMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6451 /* umlslb */, AArch64::UMLSLB_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6458 /* umlslt */, AArch64::UMLSLT_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6465 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 6472 /* umov */, AArch64::UMOVvi8, Convert__Reg1_1__VectorReg1281_2__IndexRange0_151_3, AMFBS_HasNEON, { MCK__DOT_b, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_15 }, },
{ 6472 /* umov */, AArch64::UMOVvi64, Convert__Reg1_1__VectorReg1281_2__IndexRange0_11_3, AMFBS_HasNEON, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_IndexRange0_1 }, },
{ 6472 /* umov */, AArch64::UMOVvi16, Convert__Reg1_1__VectorReg1281_2__IndexRange0_71_3, AMFBS_HasNEON, { MCK__DOT_h, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_7 }, },
{ 6472 /* umov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__IndexRange0_31_3, AMFBS_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6477 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
{ 6484 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_None, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6484 /* umulh */, AArch64::UMULH_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6490 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, AMFBS_None, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
{ 6490 /* umull */, AArch64::UMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6490 /* umull */, AArch64::UMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6490 /* umull */, AArch64::UMULLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6490 /* umull */, AArch64::UMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6490 /* umull */, AArch64::UMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6496 /* umull2 */, AArch64::UMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6496 /* umull2 */, AArch64::UMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6496 /* umull2 */, AArch64::UMULLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6496 /* umull2 */, AArch64::UMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__IndexRange0_31_4, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_IndexRange0_3 }, },
{ 6496 /* umull2 */, AArch64::UMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__IndexRange0_71_4, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_IndexRange0_7 }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6503 /* umullb */, AArch64::UMULLB_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVector3bHReg1_2__IndexRange0_71_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVector3bHReg, MCK_IndexRange0_7 }, },
{ 6510 /* umullt */, AArch64::UMULLT_ZZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVector4bSReg1_2__IndexRange0_31_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVector4bSReg, MCK_IndexRange0_3 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6517 /* uqadd */, AArch64::UQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6517 /* uqadd */, AArch64::UQADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6517 /* uqadd */, AArch64::UQADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6517 /* uqadd */, AArch64::UQADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6517 /* uqadd */, AArch64::UQADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6517 /* uqadd */, AArch64::UQADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6517 /* uqadd */, AArch64::UQADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6517 /* uqadd */, AArch64::UQADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6517 /* uqadd */, AArch64::UQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6523 /* uqdecb */, AArch64::UQDECB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6530 /* uqdecd */, AArch64::UQDECD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 6537 /* uqdech */, AArch64::UQDECH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6537 /* uqdech */, AArch64::UQDECH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_WP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateBReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 6544 /* uqdecp */, AArch64::UQDECP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6551 /* uqdecw */, AArch64::UQDECW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6558 /* uqincb */, AArch64::UQINCB_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6558 /* uqincb */, AArch64::UQINCB_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern }, },
{ 6565 /* uqincd */, AArch64::UQINCD_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6565 /* uqincd */, AArch64::UQINCD_ZPiI, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern }, },
{ 6572 /* uqinch */, AArch64::UQINCH_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6572 /* uqinch */, AArch64::UQINCH_ZPiI, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_WP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPredicateBReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_H, Convert__Reg1_0__SVEPredicateHReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_S, Convert__Reg1_0__SVEPredicateSReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_D, Convert__Reg1_0__SVEPredicateDReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_XP_B, Convert__Reg1_0__SVEPredicateBReg1_1__Tie0_1_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPredicateBReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateHReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicateAnyReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateSReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicateAnyReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateDReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateDReg }, },
{ 6579 /* uqincp */, AArch64::UQINCP_ZP_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicateAnyReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicateAnyReg }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR32 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_GPR64 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__imm_95_31__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__imm_95_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern }, },
{ 6586 /* uqincw */, AArch64::UQINCW_WPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR32, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_XPiI, Convert__Reg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_GPR64, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6586 /* uqincw */, AArch64::UQINCW_ZPiI, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPattern1_1__Imm1_161_3, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPattern, MCK_mul, MCK_Imm1_16 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6593 /* uqrshl */, AArch64::UQRSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6600 /* uqrshlr */, AArch64::UQRSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6608 /* uqrshrn */, AArch64::UQRSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6616 /* uqrshrn2 */, AArch64::UQRSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6625 /* uqrshrnb */, AArch64::UQRSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6634 /* uqrshrnt */, AArch64::UQRSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6643 /* uqshl */, AArch64::UQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6643 /* uqshl */, AArch64::UQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6643 /* uqshl */, AArch64::UQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6643 /* uqshl */, AArch64::UQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_151_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_311_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_631_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm0_63 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm0_71_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6643 /* uqshl */, AArch64::UQSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6649 /* uqshlr */, AArch64::UQSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6656 /* uqshrn */, AArch64::UQSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6663 /* uqshrn2 */, AArch64::UQSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6671 /* uqshrnb */, AArch64::UQSHRNB_ZZI_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg, MCK_Imm1_16 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg, MCK_Imm1_32 }, },
{ 6679 /* uqshrnt */, AArch64::UQSHRNT_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg, MCK_Imm1_8 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEAddSubImm162_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEAddSubImm16 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEAddSubImm322_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEAddSubImm32 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEAddSubImm642_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEAddSubImm64 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEAddSubImm82_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEAddSubImm8 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6687 /* uqsub */, AArch64::UQSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6687 /* uqsub */, AArch64::UQSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6687 /* uqsub */, AArch64::UQSUB_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6693 /* uqsubr */, AArch64::UQSUBR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i16, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i32, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv1i8, Convert__Reg1_0__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 6700 /* uqxtn */, AArch64::UQXTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6706 /* uqxtn2 */, AArch64::UQXTNv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 6713 /* uqxtnb */, AArch64::UQXTNB_ZZ_B, Convert__SVEVectorBReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorSReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorSReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorDReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorDReg }, },
{ 6720 /* uqxtnt */, AArch64::UQXTNT_ZZ_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorHReg1_1, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorHReg }, },
{ 6727 /* urecpe */, AArch64::URECPEv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6727 /* urecpe */, AArch64::URECPEv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6727 /* urecpe */, AArch64::URECPE_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6734 /* urhadd */, AArch64::URHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6734 /* urhadd */, AArch64::URHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6734 /* urhadd */, AArch64::URHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6734 /* urhadd */, AArch64::URHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6734 /* urhadd */, AArch64::URHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6734 /* urhadd */, AArch64::URHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6734 /* urhadd */, AArch64::URHADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6741 /* urshl */, AArch64::URSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6741 /* urshl */, AArch64::URSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6741 /* urshl */, AArch64::URSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6741 /* urshl */, AArch64::URSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6741 /* urshl */, AArch64::URSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6741 /* urshl */, AArch64::URSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6741 /* urshl */, AArch64::URSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6741 /* urshl */, AArch64::URSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6741 /* urshl */, AArch64::URSHL_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6747 /* urshlr */, AArch64::URSHLR_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6754 /* urshr */, AArch64::URSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6754 /* urshr */, AArch64::URSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 6754 /* urshr */, AArch64::URSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_161_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_321_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_641_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6754 /* urshr */, AArch64::URSHR_ZPmI_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__Imm1_81_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6760 /* ursqrte */, AArch64::URSQRTEv2i32, Convert__VectorReg641_1__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6760 /* ursqrte */, AArch64::URSQRTEv4i32, Convert__VectorReg1281_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6760 /* ursqrte */, AArch64::URSQRTE_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6768 /* ursra */, AArch64::URSRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6768 /* ursra */, AArch64::URSRAv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6768 /* ursra */, AArch64::URSRAv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 6768 /* ursra */, AArch64::URSRAv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRAv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 6768 /* ursra */, AArch64::URSRAv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6768 /* ursra */, AArch64::URSRAv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 6768 /* ursra */, AArch64::URSRAv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6774 /* ushl */, AArch64::USHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
{ 6774 /* ushl */, AArch64::USHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6774 /* ushl */, AArch64::USHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6774 /* ushl */, AArch64::USHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6774 /* ushl */, AArch64::USHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6774 /* ushl */, AArch64::USHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6774 /* ushl */, AArch64::USHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6774 /* ushl */, AArch64::USHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6779 /* ushll */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_31 }, },
{ 6779 /* ushll */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_15 }, },
{ 6779 /* ushll */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_7 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
{ 6785 /* ushll2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6792 /* ushllb */, AArch64::USHLLB_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__Imm0_71_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_Imm0_7 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__Imm0_151_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_Imm0_15 }, },
{ 6799 /* ushllt */, AArch64::USHLLT_ZZI_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__Imm0_311_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_Imm0_31 }, },
{ 6806 /* ushr */, AArch64::USHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6806 /* ushr */, AArch64::USHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6806 /* ushr */, AArch64::USHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 6806 /* ushr */, AArch64::USHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 6806 /* ushr */, AArch64::USHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 6806 /* ushr */, AArch64::USHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6806 /* ushr */, AArch64::USHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 6806 /* ushr */, AArch64::USHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i16, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i32, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i64, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
{ 6811 /* usqadd */, AArch64::USQADDv1i8, Convert__Reg1_0__Tie0_1_1__Reg1_1, AMFBS_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
{ 6811 /* usqadd */, AArch64::USQADDv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6811 /* usqadd */, AArch64::USQADDv2i64, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6811 /* usqadd */, AArch64::USQADDv2i32, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6811 /* usqadd */, AArch64::USQADDv4i16, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6811 /* usqadd */, AArch64::USQADDv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6811 /* usqadd */, AArch64::USQADDv8i8, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6811 /* usqadd */, AArch64::USQADDv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_H, Convert__SVEVectorHReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorHReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_S, Convert__SVEVectorSReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorSReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_D, Convert__SVEVectorDReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorDReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6811 /* usqadd */, AArch64::USQADD_ZPmZ_B, Convert__SVEVectorBReg1_0__SVEPredicate3bAnyReg1_1__Tie0_1_5__SVEVectorBReg1_5, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6818 /* usra */, AArch64::USRAd, Convert__Reg1_0__Tie0_1_1__Reg1_1__Imm1_641_2, AMFBS_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEVectorHReg1_1__Imm1_161_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEVectorSReg1_1__Imm1_321_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEVectorDReg1_1__Imm1_641_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRA_ZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_1__SVEVectorBReg1_1__Imm1_81_2, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 6818 /* usra */, AArch64::USRAv16i8_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
{ 6818 /* usra */, AArch64::USRAv2i64_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
{ 6818 /* usra */, AArch64::USRAv2i32_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRAv4i16_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
{ 6818 /* usra */, AArch64::USRAv4i32_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_321_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
{ 6818 /* usra */, AArch64::USRAv8i8_shift, Convert__VectorReg641_1__Tie0_2_2__VectorReg641_2__Imm1_81_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
{ 6818 /* usra */, AArch64::USRAv8i16_shift, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2__Imm1_161_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
{ 6823 /* usubl */, AArch64::USUBLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6823 /* usubl */, AArch64::USUBLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6823 /* usubl */, AArch64::USUBLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6829 /* usubl2 */, AArch64::USUBLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6829 /* usubl2 */, AArch64::USUBLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6829 /* usubl2 */, AArch64::USUBLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6836 /* usublb */, AArch64::USUBLB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6843 /* usublt */, AArch64::USUBLT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6850 /* usubw */, AArch64::USUBWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6850 /* usubw */, AArch64::USUBWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6850 /* usubw */, AArch64::USUBWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6856 /* usubw2 */, AArch64::USUBWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6856 /* usubw2 */, AArch64::USUBWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6856 /* usubw2 */, AArch64::USUBWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6863 /* usubwb */, AArch64::USUBWB_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6870 /* usubwt */, AArch64::USUBWT_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6877 /* uunpkhi */, AArch64::UUNPKHI_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_H, Convert__SVEVectorHReg1_0__SVEVectorBReg1_1, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorBReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_S, Convert__SVEVectorSReg1_0__SVEVectorHReg1_1, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorHReg }, },
{ 6885 /* uunpklo */, AArch64::UUNPKLO_ZZ_D, Convert__SVEVectorDReg1_0__SVEVectorSReg1_1, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorSReg }, },
{ 6893 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6893 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_H, Convert__SVEVectorHReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorHReg1_4, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorHReg }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6893 /* uxtb */, AArch64::UXTB_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6898 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR32, MCK_GPR32 }, },
{ 6898 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6898 /* uxth */, AArch64::UXTH_ZPmZ_S, Convert__SVEVectorSReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorSReg1_4, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorSReg }, },
{ 6898 /* uxth */, AArch64::UXTH_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
{ 6903 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
{ 6903 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
{ 6903 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
{ 6908 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, AMFBS_None, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
{ 6914 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, AMFBS_None, { MCK_GPR64, MCK_GPR64 }, },
{ 6914 /* uxtw */, AArch64::UXTW_ZPmZ_D, Convert__SVEVectorDReg1_0__Tie0_1_1__SVEPredicate3bAnyReg1_1__SVEVectorDReg1_4, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEPredicate3bAnyReg, MCK__47_, MCK_m, MCK_SVEVectorDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6919 /* uzp1 */, AArch64::UZP1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6919 /* uzp1 */, AArch64::UZP1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 6924 /* uzp2 */, AArch64::UZP2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 6924 /* uzp2 */, AArch64::UZP2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 6929 /* wfe */, AArch64::HINT, Convert__imm_95_2, AMFBS_None, { }, },
{ 6933 /* wfi */, AArch64::HINT, Convert__imm_95_3, AMFBS_None, { }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6937 /* whilege */, AArch64::WHILEGE_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6945 /* whilegt */, AArch64::WHILEGT_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6953 /* whilehi */, AArch64::WHILEHI_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6961 /* whilehs */, AArch64::WHILEHS_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6969 /* whilele */, AArch64::WHILELE_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6977 /* whilelo */, AArch64::WHILELO_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6985 /* whilels */, AArch64::WHILELS_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PWW_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR32, MCK_GPR32 }, },
{ 6993 /* whilelt */, AArch64::WHILELT_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 7001 /* whilerw */, AArch64::WHILERW_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_H, Convert__SVEPredicateHReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateHReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_S, Convert__SVEPredicateSReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateSReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_D, Convert__SVEPredicateDReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateDReg, MCK_GPR64, MCK_GPR64 }, },
{ 7009 /* whilewr */, AArch64::WHILEWR_PXX_B, Convert__SVEPredicateBReg1_0__Reg1_1__Reg1_2, AMFBS_HasSVE2, { MCK_SVEPredicateBReg, MCK_GPR64, MCK_GPR64 }, },
{ 7017 /* wrffr */, AArch64::WRFFR, Convert__SVEPredicateBReg1_0, AMFBS_HasSVE, { MCK_SVEPredicateBReg }, },
{ 7023 /* xaflag */, AArch64::XAFLAG, Convert_NoOperands, AMFBS_HasAltNZCV, { }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_H, Convert__SVEVectorHReg1_0__Tie0_1_2__SVEVectorHReg1_2__Imm1_161_3, AMFBS_HasSVE2, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_Imm1_16 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_S, Convert__SVEVectorSReg1_0__Tie0_1_2__SVEVectorSReg1_2__Imm1_321_3, AMFBS_HasSVE2, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_Imm1_32 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_D, Convert__SVEVectorDReg1_0__Tie0_1_2__SVEVectorDReg1_2__Imm1_641_3, AMFBS_HasSVE2, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_Imm1_64 }, },
{ 7030 /* xar */, AArch64::XAR_ZZZI_B, Convert__SVEVectorBReg1_0__Tie0_1_2__SVEVectorBReg1_2__Imm1_81_3, AMFBS_HasSVE2, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_Imm1_8 }, },
{ 7030 /* xar */, AArch64::XAR, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__UImm61_4, AMFBS_HasSHA3, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_UImm6 }, },
{ 7034 /* xpacd */, AArch64::XPACD, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 7040 /* xpaci */, AArch64::XPACI, Convert__Reg1_0, AMFBS_HasPA, { MCK_GPR64 }, },
{ 7046 /* xpaclri */, AArch64::XPACLRI, Convert_NoOperands, AMFBS_HasPA, { }, },
{ 7054 /* xtn */, AArch64::XTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 7054 /* xtn */, AArch64::XTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 7054 /* xtn */, AArch64::XTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
{ 7058 /* xtn2 */, AArch64::XTNv16i8, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7058 /* xtn2 */, AArch64::XTNv4i32, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7058 /* xtn2 */, AArch64::XTNv8i16, Convert__VectorReg1281_1__Tie0_2_2__VectorReg1281_2, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7063 /* yield */, AArch64::HINT, Convert__imm_95_1, AMFBS_None, { }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 7069 /* zip1 */, AArch64::ZIP1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7069 /* zip1 */, AArch64::ZIP1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_H, Convert__SVEPredicateHReg1_0__SVEPredicateHReg1_1__SVEPredicateHReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateHReg, MCK_SVEPredicateHReg, MCK_SVEPredicateHReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_S, Convert__SVEPredicateSReg1_0__SVEPredicateSReg1_1__SVEPredicateSReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateSReg, MCK_SVEPredicateSReg, MCK_SVEPredicateSReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_D, Convert__SVEPredicateDReg1_0__SVEPredicateDReg1_1__SVEPredicateDReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateDReg, MCK_SVEPredicateDReg, MCK_SVEPredicateDReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_PPP_B, Convert__SVEPredicateBReg1_0__SVEPredicateBReg1_1__SVEPredicateBReg1_2, AMFBS_HasSVE, { MCK_SVEPredicateBReg, MCK_SVEPredicateBReg, MCK_SVEPredicateBReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_H, Convert__SVEVectorHReg1_0__SVEVectorHReg1_1__SVEVectorHReg1_2, AMFBS_HasSVE, { MCK_SVEVectorHReg, MCK_SVEVectorHReg, MCK_SVEVectorHReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_S, Convert__SVEVectorSReg1_0__SVEVectorSReg1_1__SVEVectorSReg1_2, AMFBS_HasSVE, { MCK_SVEVectorSReg, MCK_SVEVectorSReg, MCK_SVEVectorSReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_D, Convert__SVEVectorDReg1_0__SVEVectorDReg1_1__SVEVectorDReg1_2, AMFBS_HasSVE, { MCK_SVEVectorDReg, MCK_SVEVectorDReg, MCK_SVEVectorDReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2_ZZZ_B, Convert__SVEVectorBReg1_0__SVEVectorBReg1_1__SVEVectorBReg1_2, AMFBS_HasSVE, { MCK_SVEVectorBReg, MCK_SVEVectorBReg, MCK_SVEVectorBReg }, },
{ 7074 /* zip2 */, AArch64::ZIP2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, AMFBS_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
{ 7074 /* zip2 */, AArch64::ZIP2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, AMFBS_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
};
#include "llvm/Support/Debug.h"
#include "llvm/Support/Format.h"
unsigned AArch64AsmParser::
MatchInstructionImpl(const OperandVector &Operands,
MCInst &Inst,
uint64_t &ErrorInfo,
FeatureBitset &MissingFeatures,
bool matchingInlineAsm, unsigned VariantID) {
// Eliminate obvious mismatches.
if (Operands.size() > 11) {
ErrorInfo = 11;
return Match_InvalidOperand;
}
// Get the current feature set.
const FeatureBitset &AvailableFeatures = getAvailableFeatures();
// Get the instruction mnemonic, which is the first token.
StringRef Mnemonic = ((AArch64Operand&)*Operands[0]).getToken();
// Some state to try to produce better error messages.
bool HadMatchOtherThanFeatures = false;
bool HadMatchOtherThanPredicate = false;
unsigned RetCode = Match_InvalidOperand;
MissingFeatures.set();
// Set ErrorInfo to the operand that mismatches if it is
// wrong for all instances of the instruction.
ErrorInfo = ~0ULL;
// Find the appropriate table for this asm variant.
const MatchEntry *Start, *End;
switch (VariantID) {
default: llvm_unreachable("invalid variant!");
case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
}
// Search the table.
auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "AsmMatcher: found " <<
std::distance(MnemonicRange.first, MnemonicRange.second) <<
" encodings with mnemonic '" << Mnemonic << "'\n");
// Return a more specific error code if no mnemonics match.
if (MnemonicRange.first == MnemonicRange.second)
return Match_MnemonicFail;
for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
it != ie; ++it) {
const FeatureBitset &RequiredFeatures = FeatureBitsets[it->RequiredFeaturesIdx];
bool HasRequiredFeatures =
(AvailableFeatures & RequiredFeatures) == RequiredFeatures;
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Trying to match opcode "
<< MII.getName(it->Opcode) << "\n");
// equal_range guarantees that instruction mnemonic matches.
assert(Mnemonic == it->getMnemonic());
bool OperandsValid = true;
for (unsigned FormalIdx = 0, ActualIdx = 1; FormalIdx != 10; ++FormalIdx) {
auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << " Matching formal operand class " << getMatchClassName(Formal)
<< " against actual operand at index " << ActualIdx);
if (ActualIdx < Operands.size())
DEBUG_WITH_TYPE("asm-matcher", dbgs() << " (";
Operands[ActualIdx]->print(dbgs()); dbgs() << "): ");
else
DEBUG_WITH_TYPE("asm-matcher", dbgs() << ": ");
if (ActualIdx >= Operands.size()) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "actual operand index out of range ");
OperandsValid = (Formal == InvalidMatchClass) || isSubclass(Formal, OptionalMatchClass);
if (!OperandsValid) ErrorInfo = ActualIdx;
break;
}
MCParsedAsmOperand &Actual = *Operands[ActualIdx];
unsigned Diag = validateOperandClass(Actual, Formal);
if (Diag == Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "match success using generic matcher\n");
++ActualIdx;
continue;
}
// If the generic handler indicates an invalid operand
// failure, check for a special case.
if (Diag != Match_Success) {
unsigned TargetDiag = validateTargetOperandClass(Actual, Formal);
if (TargetDiag == Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "match success using target matcher\n");
++ActualIdx;
continue;
}
// If the target matcher returned a specific error code use
// that, else use the one from the generic matcher.
if (TargetDiag != Match_InvalidOperand && HasRequiredFeatures)
Diag = TargetDiag;
}
// If current formal operand wasn't matched and it is optional
// then try to match next formal operand
if (Diag == Match_InvalidOperand && isSubclass(Formal, OptionalMatchClass)) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "ignoring optional operand\n");
continue;
}
// If this operand is broken for all of the instances of this
// mnemonic, keep track of it so we can report loc info.
// If we already had a match that only failed due to a
// target predicate, that diagnostic is preferred.
if (!HadMatchOtherThanPredicate &&
(it == MnemonicRange.first || ErrorInfo <= ActualIdx)) {
if (HasRequiredFeatures && (ErrorInfo != ActualIdx || Diag != Match_InvalidOperand))
RetCode = Diag;
ErrorInfo = ActualIdx;
}
// Otherwise, just reject this instance of the mnemonic.
OperandsValid = false;
break;
}
if (!OperandsValid) {
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Opcode result: multiple "
"operand mismatches, ignoring "
"this opcode\n");
continue;
}
if (!HasRequiredFeatures) {
HadMatchOtherThanFeatures = true;
FeatureBitset NewMissingFeatures = RequiredFeatures & ~AvailableFeatures;
DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Missing target features:";
for (unsigned I = 0, E = NewMissingFeatures.size(); I != E; ++I)
if (NewMissingFeatures[I])
dbgs() << ' ' << I;
dbgs() << "\n");
if (NewMissingFeatures.count() <=
MissingFeatures.count())
MissingFeatures = NewMissingFeatures;
continue;
}
Inst.clear();
Inst.setOpcode(it->Opcode);
// We have a potential match but have not rendered the operands.
// Check the target predicate to handle any context sensitive
// constraints.
// For example, Ties that are referenced multiple times must be
// checked here to ensure the input is the same for each match
// constraints. If we leave it any later the ties will have been
// canonicalized
unsigned MatchResult;
if ((MatchResult = checkEarlyTargetMatchPredicate(Inst, Operands)) != Match_Success) {
Inst.clear();
DEBUG_WITH_TYPE(
"asm-matcher",
dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n");
RetCode = MatchResult;
HadMatchOtherThanPredicate = true;
continue;
}
if (matchingInlineAsm) {
convertToMapAndConstraints(it->ConvertFn, Operands);
if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
return Match_InvalidTiedOperand;
return Match_Success;
}
// We have selected a definite instruction, convert the parsed
// operands into the appropriate MCInst.
convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
// We have a potential match. Check the target predicate to
// handle any context sensitive constraints.
if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) {
DEBUG_WITH_TYPE("asm-matcher",
dbgs() << "Target match predicate failed with diag code "
<< MatchResult << "\n");
Inst.clear();
RetCode = MatchResult;
HadMatchOtherThanPredicate = true;
continue;
}
if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
return Match_InvalidTiedOperand;
DEBUG_WITH_TYPE(
"asm-matcher",
dbgs() << "Opcode result: complete match, selecting this opcode\n");
return Match_Success;
}
// Okay, we had no match. Try to return a useful error code.
if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures)
return RetCode;
ErrorInfo = 0;
return Match_MissingFeature;
}
namespace {
struct OperandMatchEntry {
uint16_t Mnemonic;
uint8_t OperandMask;
uint16_t Class;
uint8_t RequiredFeaturesIdx;
StringRef getMnemonic() const {
return StringRef(MnemonicTable + Mnemonic + 1,
MnemonicTable[Mnemonic]);
}
};
// Predicate for searching for an opcode.
struct LessOpcodeOperand {
bool operator()(const OperandMatchEntry &LHS, StringRef RHS) {
return LHS.getMnemonic() < RHS;
}
bool operator()(StringRef LHS, const OperandMatchEntry &RHS) {
return LHS < RHS.getMnemonic();
}
bool operator()(const OperandMatchEntry &LHS, const OperandMatchEntry &RHS) {
return LHS.getMnemonic() < RHS.getMnemonic();
}
};
} // end anonymous namespace
static const OperandMatchEntry OperandMatchTable[13000] = {
/* Operand List Mnemonic, Mask, Operand Class, Features */
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 0 /* abs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 0 /* abs */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 8 /* adclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 8 /* adclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 8 /* adclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 8 /* adclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 14 /* adclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 14 /* adclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 14 /* adclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 14 /* adclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 25 /* add */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 25 /* add */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 25 /* add */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 25 /* add */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 25 /* add */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 25 /* add */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 47 /* addhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 54 /* addhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 61 /* addp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 72 /* adds */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 88 /* adr */, 2 /* 1 */, MCK_AdrLabel, AMFBS_None },
{ 88 /* adr */, 2 /* 1 */, MCK_AdrLabel, AMFBS_None },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3216, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3216, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3232, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3232, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3264, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL3264, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL328, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL328, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 88 /* adr */, 8 /* 3 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 88 /* adr */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 92 /* adrp */, 2 /* 1 */, MCK_AdrpLabel, AMFBS_None },
{ 92 /* adrp */, 2 /* 1 */, MCK_AdrpLabel, AMFBS_None },
{ 97 /* aesd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 97 /* aesd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 102 /* aese */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 102 /* aese */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 107 /* aesimc */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 107 /* aesimc */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 114 /* aesmc */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 114 /* aesmc */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2AES },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 120 /* and */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 120 /* and */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 120 /* and */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 120 /* and */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 124 /* ands */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 124 /* ands */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 124 /* ands */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 124 /* ands */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 129 /* andv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 129 /* andv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 134 /* asr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 134 /* asr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 134 /* asr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 138 /* asrd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 138 /* asrd */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 143 /* asrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 143 /* asrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 264 /* bcax */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 269 /* bdep */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 274 /* bext */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 283 /* bgrp */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2BitPerm },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 288 /* bic */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 288 /* bic */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 288 /* bic */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 288 /* bic */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 292 /* bics */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 292 /* bics */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 292 /* bics */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 292 /* bics */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 367 /* brka */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 367 /* brka */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 367 /* brka */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 367 /* brka */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 367 /* brka */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 367 /* brka */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 367 /* brka */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 367 /* brka */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 372 /* brkas */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 372 /* brkas */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 372 /* brkas */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 372 /* brkas */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 378 /* brkb */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 378 /* brkb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 378 /* brkb */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 378 /* brkb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 378 /* brkb */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 378 /* brkb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 378 /* brkb */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 378 /* brkb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 383 /* brkbs */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 383 /* brkbs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 383 /* brkbs */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 383 /* brkbs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 389 /* brkn */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 389 /* brkn */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 389 /* brkn */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 389 /* brkn */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 394 /* brkns */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 394 /* brkns */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 394 /* brkns */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 394 /* brkns */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 400 /* brkpa */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 400 /* brkpa */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 400 /* brkpa */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 400 /* brkpa */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 406 /* brkpas */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 406 /* brkpas */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 406 /* brkpas */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 406 /* brkpas */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 413 /* brkpb */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 413 /* brkpb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 413 /* brkpb */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 413 /* brkpb */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 419 /* brkpbs */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 419 /* brkpbs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 419 /* brkpbs */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 419 /* brkpbs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 426 /* bsl */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 426 /* bsl */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 430 /* bsl1n */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 430 /* bsl1n */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 436 /* bsl2n */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 436 /* bsl2n */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 442 /* bti */, 1 /* 0 */, MCK_BTIHint, AMFBS_HasBTI },
{ 442 /* bti */, 1 /* 0 */, MCK_BTIHint, AMFBS_HasBTI },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 446 /* cadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 519 /* casp */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 519 /* casp */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 519 /* casp */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 519 /* casp */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 524 /* caspa */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 524 /* caspa */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 524 /* caspa */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 524 /* caspa */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 530 /* caspal */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 530 /* caspal */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 530 /* caspal */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 530 /* caspal */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 537 /* caspl */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 537 /* caspl */, 3 /* 0, 1 */, MCK_WSeqPair, AMFBS_HasLSE },
{ 537 /* caspl */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 537 /* caspl */, 3 /* 0, 1 */, MCK_XSeqPair, AMFBS_HasLSE },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE2 },
{ 562 /* cdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 583 /* clasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 583 /* clasta */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 590 /* clastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 590 /* clastb */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 603 /* cls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 603 /* cls */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 607 /* clz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 607 /* clz */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 636 /* cmla */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 661 /* cmn */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 665 /* cmp */, 2 /* 1 */, MCK_AddSubImm, AMFBS_None },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 669 /* cmpeq */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 675 /* cmpge */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 681 /* cmpgt */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 687 /* cmphi */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 693 /* cmphs */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 699 /* cmple */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 699 /* cmple */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 699 /* cmple */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 705 /* cmplo */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 711 /* cmpls */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 717 /* cmplt */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 723 /* cmpne */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 745 /* cnot */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 745 /* cnot */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 750 /* cnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 750 /* cnt */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 754 /* cntb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 754 /* cntb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 754 /* cntb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 754 /* cntb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 759 /* cntd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 759 /* cntd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 759 /* cntd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 759 /* cntd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 764 /* cnth */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 764 /* cnth */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 764 /* cnth */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 764 /* cnth */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 769 /* cntp */, 4 /* 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 769 /* cntp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 774 /* cntw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 774 /* cntw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 774 /* cntw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 774 /* cntw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 779 /* compact */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 779 /* compact */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 779 /* compact */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 779 /* compact */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 779 /* compact */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 779 /* compact */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 779 /* compact */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 779 /* compact */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 787 /* cpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 787 /* cpy */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 787 /* cpy */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 924 /* decb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 924 /* decb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 924 /* decb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 924 /* decb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 929 /* decd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 929 /* decd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 934 /* dech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 934 /* dech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 939 /* decp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 939 /* decp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 944 /* decw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 944 /* decw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 949 /* dmb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 949 /* dmb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 958 /* dsb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 958 /* dsb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 962 /* dup */, 2 /* 1 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 962 /* dup */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 962 /* dup */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 966 /* dupm */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 971 /* eon */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 975 /* eor */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 975 /* eor */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 979 /* eor3 */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 984 /* eorbt */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 990 /* eors */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 990 /* eors */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 990 /* eors */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 990 /* eors */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 995 /* eortb */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1001 /* eorv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1029 /* ext */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 1029 /* ext */, 2 /* 1 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 1029 /* ext */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 1029 /* ext */, 2 /* 1 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 1029 /* ext */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1029 /* ext */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1038 /* fabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1043 /* fabs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1048 /* facge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1048 /* facge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1048 /* facge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1054 /* facgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1060 /* facle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1060 /* facle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1060 /* facle */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1066 /* faclt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1072 /* fadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1072 /* fadd */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1077 /* fadda */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1083 /* faddp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1089 /* faddv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1095 /* fcadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1114 /* fcmeq */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1120 /* fcmge */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1126 /* fcmgt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1132 /* fcmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1138 /* fcmle */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1144 /* fcmlt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1150 /* fcmne */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1167 /* fcmuo */, 48 /* 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1173 /* fcpy */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1173 /* fcpy */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1184 /* fcvt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1216 /* fcvtlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1216 /* fcvtlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1257 /* fcvtnt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1285 /* fcvtx */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1306 /* fcvtxnt */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1314 /* fcvtzs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1321 /* fcvtzu */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1328 /* fdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1333 /* fdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1339 /* fdup */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1339 /* fdup */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1344 /* fexpa */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1358 /* flogb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1364 /* fmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1375 /* fmax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1375 /* fmax */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1380 /* fmaxnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1387 /* fmaxnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1395 /* fmaxnmv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1403 /* fmaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1409 /* fmaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1415 /* fmin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1415 /* fmin */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1420 /* fminnm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1420 /* fminnm */, 32 /* 5 */, MCK_SVEExactFPImmOperandZeroOne, AMFBS_HasSVE },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1427 /* fminnmp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1435 /* fminnmv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1443 /* fminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1449 /* fminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1455 /* fmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1473 /* fmlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1473 /* fmlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1480 /* fmlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1487 /* fmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1505 /* fmlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1505 /* fmlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1512 /* fmlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFullFP16 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFullFP16 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFPARMv8 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFPARMv8 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFPARMv8 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasFPARMv8 },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON_HasFullFP16 },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON_HasFullFP16 },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON_HasFullFP16 },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON },
{ 1519 /* fmov */, 4 /* 2 */, MCK_FPImm, AMFBS_HasNEON_HasFullFP16 },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 16 /* 4 */, MCK_FPImm, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1519 /* fmov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1524 /* fmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1535 /* fmul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1535 /* fmul */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfTwo, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1540 /* fmulx */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1546 /* fneg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1551 /* fnmad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1564 /* fnmla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1570 /* fnmls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1576 /* fnmsb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1595 /* frecpe */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1602 /* frecps */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1609 /* frecpx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1652 /* frinta */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1659 /* frinti */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1666 /* frintm */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1673 /* frintn */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1680 /* frintp */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1687 /* frintx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1694 /* frintz */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1701 /* frsqrte */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1709 /* frsqrts */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1717 /* fscale */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1724 /* fsqrt */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1730 /* fsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1730 /* fsub */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1735 /* fsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1735 /* fsubr */, 32 /* 5 */, MCK_SVEExactFPImmOperandHalfOne, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1741 /* ftmad */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1747 /* ftsmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1754 /* ftssel */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1770 /* histcnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 1770 /* histcnt */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 1778 /* histseg */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 1778 /* histseg */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 1794 /* incb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1794 /* incb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1794 /* incb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1794 /* incb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1799 /* incd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1799 /* incd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1804 /* inch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1804 /* inch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1809 /* incp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 1809 /* incp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1814 /* incw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 1814 /* incw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1819 /* index */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1829 /* insr */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1838 /* isb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 1838 /* isb */, 1 /* 0 */, MCK_Barrier, AMFBS_None },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1842 /* lasta */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1848 /* lastb */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1858 /* ld1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1858 /* ld1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1863 /* ld1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1863 /* ld1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1868 /* ld1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1868 /* ld1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1878 /* ld1rb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1884 /* ld1rd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1890 /* ld1rh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1896 /* ld1rqb */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1903 /* ld1rqd */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1910 /* ld1rqh */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1917 /* ld1rqw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1924 /* ld1rsb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1931 /* ld1rsh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1938 /* ld1rsw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1945 /* ld1rw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1951 /* ld1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1957 /* ld1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1963 /* ld1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1969 /* ld1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1969 /* ld1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1978 /* ld2b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1978 /* ld2b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1978 /* ld2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1978 /* ld2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1983 /* ld2d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1983 /* ld2d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1983 /* ld2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1983 /* ld2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1988 /* ld2h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1988 /* ld2h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1988 /* ld2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1988 /* ld2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 1998 /* ld2w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 1998 /* ld2w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 1998 /* ld2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 1998 /* ld2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2007 /* ld3b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2007 /* ld3b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2007 /* ld3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2007 /* ld3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2012 /* ld3d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2012 /* ld3d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2012 /* ld3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2012 /* ld3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2017 /* ld3h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2017 /* ld3h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2017 /* ld3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2017 /* ld3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2027 /* ld3w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2027 /* ld3w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2027 /* ld3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2027 /* ld3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2036 /* ld4b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2036 /* ld4b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2036 /* ld4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2036 /* ld4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2041 /* ld4d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2041 /* ld4d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2041 /* ld4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2041 /* ld4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2046 /* ld4h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2046 /* ld4h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2046 /* ld4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2046 /* ld4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2056 /* ld4w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2056 /* ld4w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2056 /* ld4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2056 /* ld4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 2153 /* ldapr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2153 /* ldapr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2153 /* ldapr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2153 /* ldapr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2159 /* ldaprb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2159 /* ldaprb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2166 /* ldaprh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2166 /* ldaprh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasRCPC },
{ 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2223 /* ldar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2228 /* ldarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2228 /* ldarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2234 /* ldarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2234 /* ldarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 2240 /* ldaxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2246 /* ldaxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2252 /* ldaxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2252 /* ldaxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2259 /* ldaxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2259 /* ldaxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2450 /* ldff1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_GPR64shifted64, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_GPR64shifted64, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_GPR64shifted64, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_GPR64shifted64, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2457 /* ldff1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2464 /* ldff1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_GPR64shifted8, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 64 /* 6 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2471 /* ldff1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_GPR64shifted16, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2479 /* ldff1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2487 /* ldff1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_GPR64shifted32, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 64 /* 6 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2495 /* ldff1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2511 /* ldlar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2511 /* ldlar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2511 /* ldlar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2511 /* ldlar */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2517 /* ldlarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2517 /* ldlarb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2524 /* ldlarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2524 /* ldlarh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2531 /* ldnf1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2538 /* ldnf1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2545 /* ldnf1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2552 /* ldnf1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2560 /* ldnf1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2568 /* ldnf1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2576 /* ldnf1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 64 /* 6 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2588 /* ldnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 64 /* 6 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2595 /* ldnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 64 /* 6 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2602 /* ldnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2609 /* ldnt1sb */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2617 /* ldnt1sh */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2625 /* ldnt1sw */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 33 /* 0, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 64 /* 6 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 2633 /* ldnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 2650 /* ldr */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 3278 /* ldxp */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3283 /* ldxr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3288 /* ldxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3288 /* ldxrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3294 /* ldxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3294 /* ldxrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3300 /* lsl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3304 /* lslr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 32 /* 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3314 /* lsr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3318 /* lsrr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3328 /* mad */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3328 /* mad */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3337 /* match */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 3337 /* match */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3343 /* mla */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3343 /* mla */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3347 /* mls */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3347 /* mls */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorQReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm16, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm32, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm64, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3356 /* mov */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3356 /* mov */, 16 /* 4 */, MCK_SVECpyImm8, AMFBS_HasSVE },
{ 3356 /* mov */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 3 /* 0, 1 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 3 /* 0, 1 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3375 /* movprfx */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3383 /* movs */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3383 /* movs */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3383 /* movs */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3383 /* movs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3383 /* movs */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3383 /* movs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3393 /* mrs */, 2 /* 1 */, MCK_MRSSystemRegister, AMFBS_None },
{ 3393 /* mrs */, 2 /* 1 */, MCK_MRSSystemRegister, AMFBS_None },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3397 /* msb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3397 /* msb */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3401 /* msr */, 1 /* 0 */, MCK_MSRSystemRegister, AMFBS_None },
{ 3401 /* msr */, 1 /* 0 */, MCK_MSRSystemRegister, AMFBS_None },
{ 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_15, AMFBS_None },
{ 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_15, AMFBS_None },
{ 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_1, AMFBS_None },
{ 3401 /* msr */, 1 /* 0 */, MCK_SystemPStateFieldWithImm0_1, AMFBS_None },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3410 /* mul */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3410 /* mul */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3423 /* nand */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3423 /* nand */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3423 /* nand */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3423 /* nand */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3428 /* nands */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3428 /* nands */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3428 /* nands */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3428 /* nands */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3434 /* nbsl */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3434 /* nbsl */, 15 /* 0, 1, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3439 /* neg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3439 /* neg */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3457 /* nmatch */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 48 /* 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 3457 /* nmatch */, 48 /* 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3468 /* nor */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3468 /* nor */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3468 /* nor */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3468 /* nor */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3472 /* nors */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3472 /* nors */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3472 /* nors */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3472 /* nors */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3477 /* not */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3477 /* not */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3481 /* nots */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3481 /* nots */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3481 /* nots */, 17 /* 0, 4 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3481 /* nots */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3486 /* orn */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3486 /* orn */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3486 /* orn */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3486 /* orn */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3486 /* orn */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3490 /* orns */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3490 /* orns */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3490 /* orns */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3490 /* orns */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3495 /* orr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3495 /* orr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3499 /* orrs */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3499 /* orrs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3499 /* orrs */, 49 /* 0, 4, 5 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3499 /* orrs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3504 /* orv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3504 /* orv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3616 /* pfalse */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3616 /* pfalse */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3623 /* pfirst */, 5 /* 0, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3623 /* pfirst */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3623 /* pfirst */, 5 /* 0, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3623 /* pfirst */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3630 /* pmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3630 /* pmul */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE2AES },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2AES },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE2AES },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2AES },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3648 /* pmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE2AES },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2AES },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorQReg, AMFBS_HasSVE2AES },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2AES },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3655 /* pmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 5 /* 0, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3662 /* pnext */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3668 /* prfb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3668 /* prfb */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendSXTW3264, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendSXTW3264, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendUXTW3264, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendUXTW3264, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3673 /* prfd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3673 /* prfd */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3678 /* prfh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3678 /* prfh */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3683 /* prfm */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3688 /* prfum */, 1 /* 0 */, MCK_Prefetch, AMFBS_None },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3694 /* prfw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3694 /* prfw */, 1 /* 0 */, MCK_SVEPrefetch, AMFBS_HasSVE },
{ 3699 /* psb */, 1 /* 0 */, MCK_PSBHint, AMFBS_HasSPE },
{ 3699 /* psb */, 1 /* 0 */, MCK_PSBHint, AMFBS_HasSPE },
{ 3709 /* ptest */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3709 /* ptest */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3709 /* ptest */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3709 /* ptest */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3715 /* ptrue */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3715 /* ptrue */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3721 /* ptrues */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3721 /* ptrues */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 3728 /* punpkhi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3728 /* punpkhi */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3728 /* punpkhi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3728 /* punpkhi */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3736 /* punpklo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3736 /* punpklo */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3736 /* punpklo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3736 /* punpklo */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3759 /* raddhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3767 /* raddhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3775 /* rax1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2SHA3 },
{ 3775 /* rax1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2SHA3 },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3780 /* rbit */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3785 /* rdffr */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3791 /* rdffrs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3791 /* rdffrs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3791 /* rdffrs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3791 /* rdffrs */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3819 /* rev */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3841 /* revb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3841 /* revb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3846 /* revh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3846 /* revh */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3846 /* revh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3846 /* revh */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3846 /* revh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3846 /* revh */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3846 /* revh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3846 /* revh */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3851 /* revw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3851 /* revw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3851 /* revw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3851 /* revw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3883 /* rshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3890 /* rshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3912 /* rsubhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3920 /* rsubhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3928 /* saba */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3946 /* sabalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3953 /* sabalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 3960 /* sabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3978 /* sabdlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3985 /* sabdlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 3992 /* sadalp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4012 /* saddlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4019 /* saddlbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4034 /* saddlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4048 /* saddv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4067 /* saddwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4074 /* saddwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4088 /* sbclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4088 /* sbclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4088 /* sbclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4088 /* sbclb */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4094 /* sbclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4094 /* sbclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4094 /* sbclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4094 /* sbclt */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4110 /* scvtf */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4116 /* sdiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4121 /* sdivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE },
{ 4127 /* sdot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4132 /* sel */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4132 /* sel */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4279 /* shadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4311 /* shrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4317 /* shrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4323 /* shsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4329 /* shsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4336 /* sli */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4399 /* sm4e */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2SM4 },
{ 4399 /* sm4e */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2SM4 },
{ 4404 /* sm4ekey */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2SM4 },
{ 4404 /* sm4ekey */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2SM4 },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4419 /* smax */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4419 /* smax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4419 /* smax */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4424 /* smaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4430 /* smaxv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4440 /* smin */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4440 /* smin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4440 /* smin */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4445 /* sminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4451 /* sminv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4470 /* smlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4477 /* smlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4497 /* smlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4504 /* smlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4530 /* smulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4549 /* smullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4556 /* smullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList216, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList216, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList232, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList232, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList264, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList264, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4563 /* splice */, 4 /* 2 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4563 /* splice */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 4563 /* splice */, 13 /* 0, 2, 3 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4570 /* sqabs */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 4576 /* sqadd */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4576 /* sqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4582 /* sqcadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4589 /* sqdecb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4596 /* sqdecd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4603 /* sqdech */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4603 /* sqdech */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4610 /* sqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4617 /* sqdecw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4641 /* sqdmlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4650 /* sqdmlalbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4660 /* sqdmlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4686 /* sqdmlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4695 /* sqdmlslbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4705 /* sqdmlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4714 /* sqdmulh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4739 /* sqdmullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4748 /* sqdmullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4757 /* sqincb */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4757 /* sqincb */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4764 /* sqincd */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4764 /* sqincd */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4771 /* sqinch */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4771 /* sqinch */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4778 /* sqincp */, 4 /* 2 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4778 /* sqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4785 /* sqincw */, 2 /* 1 */, MCK_GPR64as32, AMFBS_HasSVE },
{ 4785 /* sqincw */, 4 /* 2 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4792 /* sqneg */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4798 /* sqrdcmlah */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4808 /* sqrdmlah */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4817 /* sqrdmlsh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector3bSReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 4 /* 2 */, MCK_SVEVector4bDReg, AMFBS_HasSVE2 },
{ 4826 /* sqrdmulh */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4835 /* sqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4842 /* sqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4867 /* sqrshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4876 /* sqrshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4904 /* sqrshrunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4914 /* sqrshrunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4924 /* sqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4930 /* sqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 4937 /* sqshlu */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4959 /* sqshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4967 /* sqshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 4992 /* sqshrunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5001 /* sqshrunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5010 /* sqsub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5010 /* sqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5016 /* sqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5036 /* sqxtnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5043 /* sqxtnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5065 /* sqxtunb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5073 /* sqxtunt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5081 /* srhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5088 /* sri */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5092 /* srshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5098 /* srshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5105 /* srshr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5111 /* srsra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5140 /* sshllb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5147 /* sshllt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5159 /* ssra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5177 /* ssublb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5184 /* ssublbt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5192 /* ssublt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5199 /* ssubltb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5220 /* ssubwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5227 /* ssubwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW328Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendSXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 16 /* 4 */, MCK_ZPRExtendUXTW648Only, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5238 /* st1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5238 /* st1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW6464, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5243 /* st1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5243 /* st1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW3216, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW6416, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5248 /* st1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5248 /* st1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW3232, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW328, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendLSL648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendSXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW6432, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 16 /* 4 */, MCK_ZPRExtendUXTW648, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5253 /* st1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5253 /* st1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5262 /* st2b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5262 /* st2b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5262 /* st2b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5262 /* st2b */, 1 /* 0 */, MCK_SVEVectorList28, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5267 /* st2d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5267 /* st2d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5267 /* st2d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5267 /* st2d */, 1 /* 0 */, MCK_SVEVectorList264, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5277 /* st2h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5277 /* st2h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5277 /* st2h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5277 /* st2h */, 1 /* 0 */, MCK_SVEVectorList216, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5282 /* st2w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5282 /* st2w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5282 /* st2w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5282 /* st2w */, 1 /* 0 */, MCK_SVEVectorList232, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5291 /* st3b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5291 /* st3b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5291 /* st3b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5291 /* st3b */, 1 /* 0 */, MCK_SVEVectorList38, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5296 /* st3d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5296 /* st3d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5296 /* st3d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5296 /* st3d */, 1 /* 0 */, MCK_SVEVectorList364, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5301 /* st3h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5301 /* st3h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5301 /* st3h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5301 /* st3h */, 1 /* 0 */, MCK_SVEVectorList316, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5306 /* st3w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5306 /* st3w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5306 /* st3w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5306 /* st3w */, 1 /* 0 */, MCK_SVEVectorList332, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5315 /* st4b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5315 /* st4b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5315 /* st4b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5315 /* st4b */, 1 /* 0 */, MCK_SVEVectorList48, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5320 /* st4d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5320 /* st4d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5320 /* st4d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5320 /* st4d */, 1 /* 0 */, MCK_SVEVectorList464, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5325 /* st4h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5325 /* st4h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5325 /* st4h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5325 /* st4h */, 1 /* 0 */, MCK_SVEVectorList416, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5330 /* st4w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5330 /* st4w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5330 /* st4w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5330 /* st4w */, 1 /* 0 */, MCK_SVEVectorList432, AMFBS_HasSVE },
{ 5478 /* stllr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5478 /* stllr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5478 /* stllr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5478 /* stllr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5484 /* stllrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5484 /* stllrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5491 /* stllrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5491 /* stllrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_HasLOR },
{ 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5498 /* stlr */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5503 /* stlrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5503 /* stlrb */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5509 /* stlrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5509 /* stlrh */, 4 /* 2 */, MCK_GPR64sp0, AMFBS_None },
{ 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5535 /* stlxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5541 /* stlxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5547 /* stlxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5547 /* stlxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5554 /* stlxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5554 /* stlxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 16 /* 4 */, MCK_GPR64NoXZRshifted8, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5566 /* stnt1b */, 1 /* 0 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 16 /* 4 */, MCK_GPR64NoXZRshifted64, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5573 /* stnt1d */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 16 /* 4 */, MCK_GPR64NoXZRshifted16, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5580 /* stnt1h */, 1 /* 0 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 9 /* 0, 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 16 /* 4 */, MCK_GPR64NoXZRshifted32, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 8 /* 3 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList164, AMFBS_HasSVE2 },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5587 /* stnt1w */, 1 /* 0 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 5598 /* str */, 1 /* 0 */, MCK_SVEVectorAnyReg, AMFBS_HasSVE },
{ 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5885 /* stxp */, 16 /* 4 */, MCK_GPR64sp0, AMFBS_None },
{ 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5890 /* stxr */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5895 /* stxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5895 /* stxrb */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5901 /* stxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5901 /* stxrh */, 8 /* 3 */, MCK_GPR64sp0, AMFBS_None },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5924 /* sub */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5924 /* sub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5924 /* sub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5924 /* sub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5924 /* sub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5924 /* sub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5946 /* subhnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5953 /* subhnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5971 /* subr */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 5971 /* subr */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5971 /* subr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 5971 /* subr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImmNeg, AMFBS_UseNegativeImmediates },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5976 /* subs */, 4 /* 2 */, MCK_AddSubImm, AMFBS_None },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5981 /* sunpkhi */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 5989 /* sunpklo */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 5997 /* suqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6076 /* sxtb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6081 /* sxth */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6097 /* sxtw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6097 /* sxtw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6097 /* sxtw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6097 /* sxtw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
{ 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
{ 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
{ 6102 /* sys */, 6 /* 1, 2 */, MCK_SysCR, AMFBS_None },
{ 6106 /* sysl */, 12 /* 2, 3 */, MCK_SysCR, AMFBS_None },
{ 6106 /* sysl */, 12 /* 2, 3 */, MCK_SysCR, AMFBS_None },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList116, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList216, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList216, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList132, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList232, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList232, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList164, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList264, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList264, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList18, AMFBS_HasSVE },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 5 /* 0, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6111 /* tbl */, 2 /* 1 */, MCK_SVEVectorList28, AMFBS_HasSVE2 },
{ 6115 /* tbnz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
{ 6115 /* tbnz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6120 /* tbx */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6124 /* tbz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
{ 6124 /* tbz */, 1 /* 0 */, MCK_GPR32as64, AMFBS_None },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6144 /* trn1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6149 /* trn2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6154 /* tsb */, 1 /* 0 */, MCK_Barrier, AMFBS_HasTRACEV8_4 },
{ 6154 /* tsb */, 1 /* 0 */, MCK_Barrier, AMFBS_HasTRACEV8_4 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6175 /* uaba */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6193 /* uabalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6200 /* uabalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6207 /* uabd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6225 /* uabdlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6232 /* uabdlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6239 /* uadalp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6259 /* uaddlb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6273 /* uaddlt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6287 /* uaddv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6306 /* uaddwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6313 /* uaddwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 16 /* 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6325 /* ucvtf */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6335 /* udiv */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6340 /* udivr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6346 /* udot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6346 /* udot */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6346 /* udot */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6346 /* udot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6346 /* udot */, 4 /* 2 */, MCK_SVEVector3bBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6346 /* udot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6346 /* udot */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 4 /* 2 */, MCK_SVEVector4bHReg, AMFBS_HasSVE },
{ 6346 /* udot */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6351 /* uhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6357 /* uhsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6363 /* uhsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6377 /* umax */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6377 /* umax */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6377 /* umax */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6382 /* umaxp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6388 /* umaxv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6394 /* umin */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6394 /* umin */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6394 /* umin */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6399 /* uminp */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6405 /* uminv */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6424 /* umlalb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6431 /* umlalt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6451 /* umlslb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6458 /* umlslt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6484 /* umulh */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6503 /* umullb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 4 /* 2 */, MCK_SVEVector3bHReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 4 /* 2 */, MCK_SVEVector4bSReg, AMFBS_HasSVE2 },
{ 6510 /* umullt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 6517 /* uqadd */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6517 /* uqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6523 /* uqdecb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6530 /* uqdecd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6537 /* uqdech */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6537 /* uqdech */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6544 /* uqdecp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6551 /* uqdecw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6558 /* uqincb */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6565 /* uqincd */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6565 /* uqincd */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6572 /* uqinch */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6572 /* uqinch */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 2 /* 1 */, MCK_SVEPredicateAnyReg, AMFBS_HasSVE },
{ 6579 /* uqincp */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6586 /* uqincw */, 2 /* 1 */, MCK_SVEPattern, AMFBS_HasSVE },
{ 6586 /* uqincw */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6593 /* uqrshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6600 /* uqrshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6625 /* uqrshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6634 /* uqrshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6643 /* uqshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6649 /* uqshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6671 /* uqshrnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6679 /* uqshrnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm16, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm32, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm64, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 4 /* 2 */, MCK_SVEAddSubImm8, AMFBS_HasSVE },
{ 6687 /* uqsub */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6687 /* uqsub */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6693 /* uqsubr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6713 /* uqxtnb */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6720 /* uqxtnt */, 1 /* 0 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6727 /* urecpe */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6727 /* urecpe */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6727 /* urecpe */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6727 /* urecpe */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6734 /* urhadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6741 /* urshl */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6747 /* urshlr */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6754 /* urshr */, 17 /* 0, 4 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6760 /* ursqrte */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6760 /* ursqrte */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6760 /* ursqrte */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6760 /* ursqrte */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6768 /* ursra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6792 /* ushllb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6799 /* ushllt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE2 },
{ 6811 /* usqadd */, 49 /* 0, 4, 5 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6818 /* usra */, 3 /* 0, 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6836 /* usublb */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 6 /* 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6843 /* usublt */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6863 /* usubwb */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 4 /* 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 6870 /* usubwt */, 3 /* 0, 1 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6877 /* uunpkhi */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 2 /* 1 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6885 /* uunpklo */, 1 /* 0 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6893 /* uxtb */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 17 /* 0, 4 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6898 /* uxth */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6914 /* uxtw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6914 /* uxtw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6914 /* uxtw */, 2 /* 1 */, MCK_SVEPredicate3bAnyReg, AMFBS_HasSVE },
{ 6914 /* uxtw */, 17 /* 0, 4 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6919 /* uzp1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6924 /* uzp2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6937 /* whilege */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6945 /* whilegt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6953 /* whilehi */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6961 /* whilehs */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6969 /* whilele */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6977 /* whilelo */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6985 /* whilels */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 6993 /* whilelt */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 7001 /* whilerw */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateHReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateSReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateDReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 7009 /* whilewr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE2 },
{ 7017 /* wrffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7017 /* wrffr */, 1 /* 0 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 7030 /* xar */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE2 },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 7069 /* zip1 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateHReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateSReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateDReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEPredicateBReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorHReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorSReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorDReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
{ 7074 /* zip2 */, 7 /* 0, 1, 2 */, MCK_SVEVectorBReg, AMFBS_HasSVE },
};
OperandMatchResultTy AArch64AsmParser::
tryCustomParseOperand(OperandVector &Operands,
unsigned MCK) {
switch(MCK) {
case MCK_AddSubImmNeg:
return tryParseImmWithOptionalShift(Operands);
case MCK_AddSubImm:
return tryParseImmWithOptionalShift(Operands);
case MCK_AdrLabel:
return tryParseAdrLabel(Operands);
case MCK_AdrpLabel:
return tryParseAdrpLabel(Operands);
case MCK_BTIHint:
return tryParseBTIHint(Operands);
case MCK_Barrier:
return tryParseBarrierOperand(Operands);
case MCK_FPImm:
return tryParseFPImm<true>(Operands);
case MCK_GPR32as64:
return tryParseGPROperand<false, RegConstraintEqualityTy::EqualsSubReg>(Operands);
case MCK_GPR64NoXZRshifted16:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64NoXZRshifted32:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64NoXZRshifted64:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64NoXZRshifted8:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64as32:
return tryParseGPROperand<false, RegConstraintEqualityTy::EqualsSuperReg>(Operands);
case MCK_GPR64shifted16:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64shifted32:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64shifted64:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64shifted8:
return tryParseGPROperand<true>(Operands);
case MCK_GPR64sp0:
return tryParseGPR64sp0Operand(Operands);
case MCK_MRSSystemRegister:
return tryParseSysReg(Operands);
case MCK_MSRSystemRegister:
return tryParseSysReg(Operands);
case MCK_SVEPredicateHReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicateSReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicate3bHReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicate3bSReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicate3bDReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicate3bBReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicate3bAnyReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicateDReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicateBReg:
return tryParseSVEPredicateVector(Operands);
case MCK_SVEPredicateAnyReg:
return tryParseSVEPredicateVector(Operands);
case MCK_PSBHint:
return tryParsePSBHint(Operands);
case MCK_Prefetch:
return tryParsePrefetch(Operands);
case MCK_SVEAddSubImm16:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVEAddSubImm32:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVEAddSubImm64:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVEAddSubImm8:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVECpyImm16:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVECpyImm32:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVECpyImm64:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVECpyImm8:
return tryParseImmWithOptionalShift(Operands);
case MCK_SVEPattern:
return tryParseSVEPattern(Operands);
case MCK_SVEPrefetch:
return tryParsePrefetch<true>(Operands);
case MCK_SysCR:
return tryParseSysCROperand(Operands);
case MCK_SystemPStateFieldWithImm0_15:
return tryParseSysReg(Operands);
case MCK_SystemPStateFieldWithImm0_1:
return tryParseSysReg(Operands);
case MCK_WSeqPair:
return tryParseGPRSeqPair(Operands);
case MCK_XSeqPair:
return tryParseGPRSeqPair(Operands);
case MCK_ZPRExtendLSL3216:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL3232:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL3264:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL328:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW3216:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW3232:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW3264:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW328:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW328Only:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW3216:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW3232:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW3264:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW328:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW328Only:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL6416:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL6432:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL6464:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendLSL648:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW6416:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW6432:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW6464:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW648:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendSXTW648Only:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW6416:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW6432:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW6464:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW648:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_ZPRExtendUXTW648Only:
return tryParseSVEDataVector<true, true>(Operands);
case MCK_SVEVectorQReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVectorHReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVectorSReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector3bHReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector3bSReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector3bBReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector4bHReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector4bSReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVector4bDReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVectorDReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVectorBReg:
return tryParseSVEDataVector<false, true>(Operands);
case MCK_SVEVectorAnyReg:
return tryParseSVEDataVector<false, false>(Operands);
case MCK_SVEVectorList18:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList116:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList132:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList164:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList28:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList216:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList232:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList264:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList38:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList316:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList332:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList364:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList48:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList416:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList432:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEVectorList464:
return tryParseVectorList<RegKind::SVEDataVector>(Operands);
case MCK_SVEExactFPImmOperandHalfOne:
return tryParseFPImm<false>(Operands);
case MCK_SVEExactFPImmOperandHalfTwo:
return tryParseFPImm<false>(Operands);
case MCK_SVEExactFPImmOperandZeroOne:
return tryParseFPImm<false>(Operands);
default:
return MatchOperand_NoMatch;
}
return MatchOperand_NoMatch;
}
OperandMatchResultTy AArch64AsmParser::
MatchOperandParserImpl(OperandVector &Operands,
StringRef Mnemonic,
bool ParseForAllFeatures) {
// Get the current feature set.
const FeatureBitset &AvailableFeatures = getAvailableFeatures();
// Get the next operand index.
unsigned NextOpNum = Operands.size() - 1;
// Search the table.
auto MnemonicRange =
std::equal_range(std::begin(OperandMatchTable), std::end(OperandMatchTable),
Mnemonic, LessOpcodeOperand());
if (MnemonicRange.first == MnemonicRange.second)
return MatchOperand_NoMatch;
for (const OperandMatchEntry *it = MnemonicRange.first,
*ie = MnemonicRange.second; it != ie; ++it) {
// equal_range guarantees that instruction mnemonic matches.
assert(Mnemonic == it->getMnemonic());
// check if the available features match
const FeatureBitset &RequiredFeatures = FeatureBitsets[it->RequiredFeaturesIdx];
if (!ParseForAllFeatures && (AvailableFeatures & RequiredFeatures) != RequiredFeatures)
continue;
// check if the operand in question has a custom parser.
if (!(it->OperandMask & (1 << NextOpNum)))
continue;
// call custom parse method to handle the operand
OperandMatchResultTy Result = tryCustomParseOperand(Operands, it->Class);
if (Result != MatchOperand_NoMatch)
return Result;
}
// Okay, we had no match.
return MatchOperand_NoMatch;
}
#endif // GET_MATCHER_IMPLEMENTATION
#ifdef GET_MNEMONIC_SPELL_CHECKER
#undef GET_MNEMONIC_SPELL_CHECKER
static std::string AArch64MnemonicSpellCheck(StringRef S, const FeatureBitset &FBS, unsigned VariantID) {
const unsigned MaxEditDist = 2;
std::vector<StringRef> Candidates;
StringRef Prev = "";
// Find the appropriate table for this asm variant.
const MatchEntry *Start, *End;
switch (VariantID) {
default: llvm_unreachable("invalid variant!");
case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
}
for (auto I = Start; I < End; I++) {
// Ignore unsupported instructions.
const FeatureBitset &RequiredFeatures = FeatureBitsets[I->RequiredFeaturesIdx];
if ((FBS & RequiredFeatures) != RequiredFeatures)
continue;
StringRef T = I->getMnemonic();
// Avoid recomputing the edit distance for the same string.
if (T.equals(Prev))
continue;
Prev = T;
unsigned Dist = S.edit_distance(T, false, MaxEditDist);
if (Dist <= MaxEditDist)
Candidates.push_back(T);
}
if (Candidates.empty())
return "";
std::string Res = ", did you mean: ";
unsigned i = 0;
for( ; i < Candidates.size() - 1; i++)
Res += Candidates[i].str() + ", ";
return Res + Candidates[i].str() + "?";
}
#endif // GET_MNEMONIC_SPELL_CHECKER